OpenCores
URL https://opencores.org/ocsvn/wbuart32/wbuart32/trunk

Subversion Repositories wbuart32

[/] [wbuart32/] [trunk/] [bench/] [cpp/] [helloworld.cpp] - Diff between revs 18 and 26

Only display areas with differences | Details | Blame | View Log

Rev 18 Rev 26
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
//
//
// Filename:    helloworld.cpp
// Filename:    helloworld.cpp
//
//
// Project:     wbuart32, a full featured UART with simulator
// Project:     wbuart32, a full featured UART with simulator
//
//
// Purpose:     To demonstrate a useful Verilog file which could be used as a
// Purpose:     To demonstrate a useful Verilog file which could be used as a
//              toplevel program later, to demo the transmit UART.
//              toplevel program later, to demo the transmit UART.
//
//
// Creator:     Dan Gisselquist, Ph.D.
// Creator:     Dan Gisselquist, Ph.D.
//              Gisselquist Technology, LLC
//              Gisselquist Technology, LLC
//
//
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
//
//
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
// Copyright (C) 2015-2019, Gisselquist Technology, LLC
//
//
// This program is free software (firmware): you can redistribute it and/or
// This program is free software (firmware): you can redistribute it and/or
// modify it under the terms of  the GNU General Public License as published
// modify it under the terms of  the GNU General Public License as published
// by the Free Software Foundation, either version 3 of the License, or (at
// by the Free Software Foundation, either version 3 of the License, or (at
// your option) any later version.
// your option) any later version.
//
//
// This program is distributed in the hope that it will be useful, but WITHOUT
// This program is distributed in the hope that it will be useful, but WITHOUT
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
// for more details.
// for more details.
//
//
// You should have received a copy of the GNU General Public License along
// You should have received a copy of the GNU General Public License along
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
// target there if the PDF file isn't present.)  If not, see
// target there if the PDF file isn't present.)  If not, see
// <http://www.gnu.org/licenses/> for a copy.
// <http://www.gnu.org/licenses/> for a copy.
//
//
// License:     GPL, v3, as defined and found on www.gnu.org,
// License:     GPL, v3, as defined and found on www.gnu.org,
//              http://www.gnu.org/licenses/gpl.html
//              http://www.gnu.org/licenses/gpl.html
//
//
//
//
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
//
//
//
//
#include <verilatedos.h>
#include <verilatedos.h>
#include <stdio.h>
#include <stdio.h>
#include <fcntl.h>
#include <fcntl.h>
#include <unistd.h>
#include <unistd.h>
#include <string.h>
#include <string.h>
#include <time.h>
#include <time.h>
#include <sys/types.h>
#include <sys/types.h>
#include <signal.h>
#include <signal.h>
#include "verilated.h"
#include "verilated.h"
#include "verilated_vcd_c.h"
#include "verilated_vcd_c.h"
#include "Vhelloworld.h"
#include "Vhelloworld.h"
#include "uartsim.h"
#include "uartsim.h"
 
 
int     main(int argc, char **argv) {
int     main(int argc, char **argv) {
        Verilated::commandArgs(argc, argv);
        Verilated::commandArgs(argc, argv);
        Vhelloworld     tb;
        Vhelloworld     tb;
        UARTSIM         *uart;
        UARTSIM         *uart;
        int             port = 0;
        int             port = 0;
        unsigned        setup = 868, clocks = 0, baudclocks;
        unsigned        setup = 868, clocks = 0, baudclocks;
 
 
        tb.i_setup = setup;
        tb.i_setup = setup;
        uart = new UARTSIM(port);
        uart = new UARTSIM(port);
        uart->setup(tb.i_setup);
        uart->setup(tb.i_setup);
        baudclocks = tb.i_setup & 0xfffffff;
        baudclocks = tb.i_setup & 0xfffffff;
 
 
#define VCDTRACE
#define VCDTRACE
#ifdef  VCDTRACE
#ifdef  VCDTRACE
        Verilated::traceEverOn(true);
        Verilated::traceEverOn(true);
        VerilatedVcdC* tfp = new VerilatedVcdC;
        VerilatedVcdC* tfp = new VerilatedVcdC;
        tb.trace(tfp, 99);
        tb.trace(tfp, 99);
        tfp->open("helloworld.vcd");
        tfp->open("helloworld.vcd");
#define TRACE_POSEDGE   tfp->dump(10*clocks)
#define TRACE_POSEDGE   tfp->dump(10*clocks)
#define TRACE_NEGEDGE   tfp->dump(10*clocks+5)
#define TRACE_NEGEDGE   tfp->dump(10*clocks+5)
#define TRACE_CLOSE     tfp->close()
#define TRACE_CLOSE     tfp->close()
#else
#else
#define TRACE_POSEDGE
#define TRACE_POSEDGE
#define TRACE_NEGEDGE
#define TRACE_NEGEDGE
#define TRACE_CLOSE
#define TRACE_CLOSE
#endif
#endif
 
 
        clocks = 0;
        clocks = 0;
        while(clocks < 16*32*baudclocks) {
        while(clocks < 16*32*baudclocks) {
 
 
                tb.i_clk = 1;
                tb.i_clk = 1;
                tb.eval();
                tb.eval();
                TRACE_POSEDGE;
                TRACE_POSEDGE;
                tb.i_clk = 0;
                tb.i_clk = 0;
                tb.eval();
                tb.eval();
                TRACE_NEGEDGE;
                TRACE_NEGEDGE;
 
 
                (*uart)(tb.o_uart_tx);
                (*uart)(tb.o_uart_tx);
                clocks++;
                clocks++;
        }
        }
 
 
        TRACE_CLOSE;
        TRACE_CLOSE;
        printf("\n\nSimulation complete\n");
        printf("\n\nSimulation complete\n");
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.