OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [rtl/] [fm_hvc/] [fm_cmn_ram.v] - Diff between revs 4 and 9

Only display areas with differences | Details | Blame | View Log

Rev 4 Rev 9
//=======================================================================
//=======================================================================
// Project Monophony
// Project Monophony
//   Wire-Frame 3D Graphics Accelerator IP Core
//   Wire-Frame 3D Graphics Accelerator IP Core
//
//
// File:
// File:
//   fm_cmn_ram.v
//   fm_cmn_ram.v
//
//
// Abstract:
// Abstract:
//   Dualport RAM, this will be mapped to block ram
//   Dualport RAM, this will be mapped to block ram
//   with different clocks
//   with different clocks
//
//
// Author:
// Author:
//   Kenji Ishimaru (info.wf3d@gmail.com)
//   Kenji Ishimaru (info.info.wf3d@gmail.com)
//
//
//======================================================================
//======================================================================
//
//
// Copyright (c) 2015, Kenji Ishimaru
// Copyright (c) 2015, Kenji Ishimaru
// All rights reserved.
// All rights reserved.
//
//
// Redistribution and use in source and binary forms, with or without
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are met:
// modification, are permitted provided that the following conditions are met:
//
//
//  -Redistributions of source code must retain the above copyright notice,
//  -Redistributions of source code must retain the above copyright notice,
//   this list of conditions and the following disclaimer.
//   this list of conditions and the following disclaimer.
//  -Redistributions in binary form must reproduce the above copyright notice,
//  -Redistributions in binary form must reproduce the above copyright notice,
//   this list of conditions and the following disclaimer in the documentation
//   this list of conditions and the following disclaimer in the documentation
//   and/or other materials provided with the distribution.
//   and/or other materials provided with the distribution.
//
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
// THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
// THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
// PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
// PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
// CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
// PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
// PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
// OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
// OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
// OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE,
// OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE,
// EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//
// Revision History
// Revision History
 
 
module fm_cmn_ram (
module fm_cmn_ram (
    clka,
    clka,
    clkb,
    clkb,
    wea,
    wea,
    addra,
    addra,
    addrb,
    addrb,
    dia,
    dia,
    doa,
    doa,
    dob
    dob
 );
 );
 
 
//////////////////////////////////
//////////////////////////////////
// parameter
// parameter
//////////////////////////////////
//////////////////////////////////
    parameter P_RAM_TYPE="TYPE_A";
    parameter P_RAM_TYPE="TYPE_A";
    parameter P_WIDTH = 32;
    parameter P_WIDTH = 32;
    parameter P_RANGE = 2;
    parameter P_RANGE = 2;
    parameter P_DEPTH = 1 << P_RANGE;
    parameter P_DEPTH = 1 << P_RANGE;
//////////////////////////////////
//////////////////////////////////
// I/O port definition
// I/O port definition
//////////////////////////////////
//////////////////////////////////
    input                clka;
    input                clka;
    input                clkb;
    input                clkb;
    input                wea;
    input                wea;
    input  [P_RANGE-1:0] addra;
    input  [P_RANGE-1:0] addra;
    input  [P_RANGE-1:0] addrb;
    input  [P_RANGE-1:0] addrb;
    input  [P_WIDTH-1:0] dia;
    input  [P_WIDTH-1:0] dia;
    output [P_WIDTH-1:0] doa;
    output [P_WIDTH-1:0] doa;
    output [P_WIDTH-1:0] dob;
    output [P_WIDTH-1:0] dob;
 
 
//////////////////////////////////
//////////////////////////////////
// reg 
// reg 
//////////////////////////////////
//////////////////////////////////
    reg [P_WIDTH-1:0] ram [P_DEPTH-1:0];
    reg [P_WIDTH-1:0] ram [P_DEPTH-1:0];
    reg [P_WIDTH-1:0] doa;
    reg [P_WIDTH-1:0] doa;
    reg [P_WIDTH-1:0] dob;
    reg [P_WIDTH-1:0] dob;
 
 
//////////////////////////////////
//////////////////////////////////
// always
// always
//////////////////////////////////
//////////////////////////////////
generate
generate
  if (P_RAM_TYPE=="TYPE_A") begin
  if (P_RAM_TYPE=="TYPE_A") begin
    always @(posedge clka) begin
    always @(posedge clka) begin
        if (wea) ram[addra] <= dia;
        if (wea) ram[addra] <= dia;
    end
    end
 
 
    always @(posedge clkb) begin
    always @(posedge clkb) begin
        dob <= ram[addrb];
        dob <= ram[addrb];
    end
    end
  end else begin
  end else begin
    // port A: write-first
    // port A: write-first
    always @(posedge clka) begin
    always @(posedge clka) begin
        if (wea) begin
        if (wea) begin
            ram[addra] <= dia;
            ram[addra] <= dia;
            doa <= dia;
            doa <= dia;
        end else begin
        end else begin
            doa <= ram[addra];
            doa <= ram[addra];
        end
        end
    end
    end
 
 
    // port B: read-first
    // port B: read-first
    always @(posedge clkb) begin
    always @(posedge clkb) begin
        dob <= ram[addrb];
        dob <= ram[addrb];
    end
    end
  end
  end
endgenerate
endgenerate
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.