OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [DualPathFPAdderMappedConversions/] [effective_op.v] - Diff between revs 11 and 19

Only display areas with differences | Details | Blame | View Log

Rev 11 Rev 19
`timescale 1ns / 1ps
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Company:     UPT
// Engineer: 
// Engineer:    Constantina-Elena Gavriliu
// 
// 
// Create Date:    17:41:11 11/04/2013 
// Create Date:    17:41:11 11/04/2013 
// Design Name: 
// Design Name: 
// Module Name:    effective_op 
// Module Name:    effective_op 
// Project Name: 
// Project Name: 
// Target Devices: 
// Target Devices: 
// Tool versions: 
// Tool versions: 
// Description: 
// Description: Compute effective operation 
//
//
// Dependencies: 
// Dependencies: 
//
//
// Revision: 
// Revision: 
// Revision 0.01 - File Created
// Revision 0.01 - File Created
// Additional Comments: 
// Additional Comments: 
//
//
//////////////////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////////////////
module effective_op(    input a_sign,
module effective_op(    input a_sign,
                                                        input b_sign,
                                                        input b_sign,
                                                        input sub,
                                                        input sub,
                                                        output reg eff_op);
                                                        output reg eff_op);
 
 
        always
        always
                @(*)
                @(*)
        begin
        begin
                case ({sub,a_sign, b_sign})
                case ({sub,a_sign, b_sign})
                        3'b000: eff_op = 0;
                        3'b000: eff_op = 0;
                        3'b001: eff_op = 1;
                        3'b001: eff_op = 1;
                        3'b010: eff_op = 1;
                        3'b010: eff_op = 1;
                        3'b011: eff_op = 0;
                        3'b011: eff_op = 0;
                        3'b100: eff_op = 1;
                        3'b100: eff_op = 1;
                        3'b101: eff_op = 0;
                        3'b101: eff_op = 0;
                        3'b110: eff_op = 0;
                        3'b110: eff_op = 0;
                        3'b111: eff_op = 1;
                        3'b111: eff_op = 1;
                endcase
                endcase
        end
        end
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.