OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_divide.v] - Diff between revs 20 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 25
Line 76... Line 76...
wire [15:0] cmp0, cmp1;
wire [15:0] cmp0, cmp1;
reg [7:0] div_out, rem_out;
reg [7:0] div_out, rem_out;
wire [7:0] div;
wire [7:0] div;
 
 
// real registers
// real registers
reg cycle;
reg [1:0] cycle;
reg [5:0] tmp_div;
reg [5:0] tmp_div;
reg [7:0] tmp_rem;
reg [7:0] tmp_rem;
 
 
/* This logic is very redundant, but it should be optimized by
/* This logic is very redundant, but it should be optimized by
   synthesizer */
   synthesizer */
assign cmp1 = src2 << ({1'b0, cycle} * 3'h2 + 3'h1);
assign cmp1 = src2 << ({2'h3 - cycle, 1'b0} + 3'h1);
assign cmp0 = src2 << ({1'b0, cycle} * 3'h2 + 3'h0);
assign cmp0 = src2 << ({2'h3 - cycle, 1'b0} + 3'h0);
 
 
assign rem2 = cycle != 0 ? tmp_rem : src1;
assign rem2 = cycle != 0 ? tmp_rem : src1;
assign div1 = cmp1 <= rem2;
assign div1 = cmp1 <= rem2;
assign rem1 = rem1 - (div1 ? cmp1[7:0] : 8'h0);
assign rem1 = rem2 - (div1 ? cmp1[7:0] : 8'h0);
assign div0 = cmp0 <= rem1;
assign div0 = cmp0 <= rem1;
 
 
//
//
// in clock cycle 0 we first calculate two MSB bits, ...
// in clock cycle 0 we first calculate two MSB bits, ...
// till finally in clock cycle 3 we calculate two LSB bits
// till finally in clock cycle 3 we calculate two LSB bits
Line 102... Line 102...
    div_out = 8'hx;
    div_out = 8'hx;
    rem_out = 8'hx;
    rem_out = 8'hx;
  end else begin
  end else begin
    desOv = 1'b0;
    desOv = 1'b0;
    rem_out = rem1 - (div0 ? cmp0[7:0] : 8'h0);
    rem_out = rem1 - (div0 ? cmp0[7:0] : 8'h0);
    div_out = {div1, div0, tmp_div};
    div_out = {tmp_div, div1, div0};
  end
  end
end
end
 
 
//
//
// divider works in four clock cycles -- 0, 1, 2 and 3
// divider works in four clock cycles -- 0, 1, 2 and 3
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
begin
begin
  if (rst) begin
  if (rst) begin
    cycle <= #1 1'b0;
    cycle <= #1 2'b0;
    tmp_div <= #1 6'h0;
    tmp_div <= #1 6'h0;
    tmp_rem <= #1 8'h0;
    tmp_rem <= #1 8'h0;
  end else begin
  end else begin
    if (enable && !cycle) cycle <= #1 1'b1;
    if (enable) cycle <= #1 cycle + 2'b1;
    else cycle <= #1 1'b0;
    tmp_div <= #1 div_out[5:0];
    tmp_div <= #1 div_out[7:2];
 
    tmp_rem <= #1 rem_out;
    tmp_rem <= #1 rem_out;
  end
  end
end
end
 
 
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.