OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_ram_top.v] - Diff between revs 172 and 174

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 172 Rev 174
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.9  2003/06/17 14:17:22  simont
 
// BIST signals added.
 
//
// Revision 1.8  2003/04/02 16:12:04  simont
// Revision 1.8  2003/04/02 16:12:04  simont
// generic_dpram used
// generic_dpram used
//
//
// Revision 1.7  2003/04/02 11:26:21  simont
// Revision 1.7  2003/04/02 11:26:21  simont
// updating...
// updating...
Line 129... Line 132...
reg [2:0] bit_select;
reg [2:0] bit_select;
 
 
assign bit_data_out = rd_data[bit_select];
assign bit_data_out = rd_data[bit_select];
 
 
 
 
generic_dpram #(ram_aw, 8) oc8051_ram1(
 
        .rclk  ( clk       ),
 
        .rrst  ( rst       ),
 
        .rce   ( 1'b1      ),
 
        .oe    ( 1'b1      ),
 
        .raddr ( rd_addr_m ),
 
        .do    ( rd_data   ),
 
 
 
        .wclk  ( clk       ),
 
        .wrst  ( rst       ),
 
        .wce   ( 1'b1      ),
 
        .we    ( wr        ),
 
        .waddr ( wr_addr_m ),
 
        .di    ( wr_data_m )
 
);
 
 
 
 
oc8051_ram_256x8_two_bist oc8051_idata(
 
                           .clk     ( clk        ),
 
                           .rst     ( rst        ),
 
                           .rd_addr ( rd_addr_m  ),
 
                           .rd_data ( rd_data    ),
 
                           .rd_en   ( 1'b1       ),
 
                           .wr_addr ( wr_addr_m  ),
 
                           .wr_data ( wr_data_m  ),
 
                           .wr_en   ( 1'b1       ),
 
                           .wr      ( wr         )
 
`ifdef OC8051_BIST
 
         ,
 
         .scanb_rst(scanb_rst),
 
         .scanb_clk(scanb_clk),
 
         .scanb_si(scanb_si),
 
         .scanb_so(scanb_so),
 
         .scanb_en(scanb_en)
 
`endif
 
                           );
 
 
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
  if (rst) begin
  if (rst) begin
    bit_addr_r <= #1 1'b0;
    bit_addr_r <= #1 1'b0;
    bit_select <= #1 3'b0;
    bit_select <= #1 3'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.