OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [zxspectrum_de1/] [ula/] [zx_kbd.sv] - Diff between revs 13 and 15

Show entire file | Details | Blame | View Log

Rev 13 Rev 15
Line 186... Line 186...
                            end
                            end
                    // With shifted keys, we need to make inactive (set to 1) other corresponding key
                    // With shifted keys, we need to make inactive (set to 1) other corresponding key
                    // Otherwise, it will stay active if the shift was released first
                    // Otherwise, it will stay active if the shift was released first
                    8'h4E:  begin                       // - or (shifted) _
                    8'h4E:  begin                       // - or (shifted) _
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[4][0] <= shifted ? released : 1;     // 0
                            keys[4][0] <= shifted ? released : 1'b1;     // 0
                            keys[6][3] <= shifted ? 1 : released;     // J
                            keys[6][3] <= shifted ? 1'b1 : released;     // J
                            end
                            end
                    8'h55:  begin                       // = or (shifted) +
                    8'h55:  begin                       // = or (shifted) +
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[6][2] <= shifted ? released : 1;     // K
                            keys[6][2] <= shifted ? released : 1'b1;     // K
                            keys[6][1] <= shifted ? 1 : released;     // L
                            keys[6][1] <= shifted ? 1'b1 : released;     // L
                            end
                            end
                    8'h52:  begin                       // ' or (shifted) "
                    8'h52:  begin                       // ' or (shifted) "
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[5][0] <= shifted ? released : 1;     // P
                            keys[5][0] <= shifted ? released : 1'b1;     // P
                            keys[4][3] <= shifted ? 1 : released;     // 7
                            keys[4][3] <= shifted ? 1'b1 : released;     // 7
                            end
                            end
                    8'h4C:  begin                       // ; or (shifted) :
                    8'h4C:  begin                       // ; or (shifted) :
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[0][1] <= shifted ? released : 1;     // Z
                            keys[0][1] <= shifted ? released : 1'b1;     // Z
                            keys[5][1] <= shifted ? 1 : released;     // O
                            keys[5][1] <= shifted ? 1'b1 : released;     // O
                            end
                            end
                    8'h41:  begin                       // , or (shifted) <
                    8'h41:  begin                       // , or (shifted) <
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[2][3] <= shifted ? released : 1;     // R
                            keys[2][3] <= shifted ? released : 1'b1;     // R
                            keys[7][3] <= shifted ? 1 : released;     // N
                            keys[7][3] <= shifted ? 1'b1 : released;     // N
                            end
                            end
                    8'h49:  begin                       // . or (shifted) >
                    8'h49:  begin                       // . or (shifted) >
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[2][4] <= shifted ? released : 1;     // T
                            keys[2][4] <= shifted ? released : 1'b1;     // T
                            keys[7][2] <= shifted ? 1 : released;     // M
                            keys[7][2] <= shifted ? 1'b1 : released;     // M
                            end
                            end
                    8'h4A:  begin                       // / or (shifted) ?
                    8'h4A:  begin                       // / or (shifted) ?
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[7][1] <= released;     // SYMBOL SHIFT (Red)
                            keys[0][3] <= shifted ? released : 1;     // C
                            keys[0][3] <= shifted ? released : 1'b1;     // C
                            keys[0][4] <= shifted ? 1 : released;     // V
                            keys[0][4] <= shifted ? 1'b1 : released;     // V
                            end
                            end
                endcase
                endcase
            end
            end
        end
        end
    end
    end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.