OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [zxspectrum_de1/] [zxspectrum_de1.qsf] - Diff between revs 8 and 11

Show entire file | Details | Blame | View Log

Rev 8 Rev 11
Line 391... Line 391...
set_location_assignment PIN_W14 -to FL_RST_N
set_location_assignment PIN_W14 -to FL_RST_N
 
 
###########################################################################
###########################################################################
# GPIO-0 Expansion Header 1
# GPIO-0 Expansion Header 1
###########################################################################
###########################################################################
set_location_assignment PIN_A13 -to GPIO_0[0]
set_location_assignment PIN_A13 -to kempston_gnd
set_location_assignment PIN_B13 -to GPIO_0[1]
set_location_assignment PIN_B13 -to GPIO_0[1]
set_location_assignment PIN_A14 -to GPIO_0[2]
set_location_assignment PIN_A14 -to kempston[4]
set_location_assignment PIN_B14 -to GPIO_0[3]
set_location_assignment PIN_B14 -to GPIO_0[3]
set_location_assignment PIN_A15 -to GPIO_0[4]
set_location_assignment PIN_A15 -to kempston[3]
set_location_assignment PIN_B15 -to GPIO_0[5]
set_location_assignment PIN_B15 -to kempston[2]
set_location_assignment PIN_A16 -to GPIO_0[6]
set_location_assignment PIN_A16 -to kempston[1]
set_location_assignment PIN_B16 -to GPIO_0[7]
set_location_assignment PIN_B16 -to kempston[0]
set_location_assignment PIN_A17 -to GPIO_0[8]
 
set_location_assignment PIN_B17 -to GPIO_0[9]
set_location_assignment PIN_B17 -to GPIO_0[9]
set_location_assignment PIN_A18 -to GPIO_0[10]
set_location_assignment PIN_A18 -to GPIO_0[10]
set_location_assignment PIN_B18 -to GPIO_0[11]
set_location_assignment PIN_B18 -to GPIO_0[11]
set_location_assignment PIN_A19 -to GPIO_0[12]
set_location_assignment PIN_A19 -to GPIO_0[12]
set_location_assignment PIN_B19 -to GPIO_0[13]
set_location_assignment PIN_B19 -to GPIO_0[13]
Line 417... Line 416...
set_location_assignment PIN_E22 -to GPIO_0[21]
set_location_assignment PIN_E22 -to GPIO_0[21]
set_location_assignment PIN_F21 -to GPIO_0[22]
set_location_assignment PIN_F21 -to GPIO_0[22]
set_location_assignment PIN_F22 -to GPIO_0[23]
set_location_assignment PIN_F22 -to GPIO_0[23]
set_location_assignment PIN_G21 -to GPIO_0[24]
set_location_assignment PIN_G21 -to GPIO_0[24]
set_location_assignment PIN_G22 -to GPIO_0[25]
set_location_assignment PIN_G22 -to GPIO_0[25]
set_location_assignment PIN_J21 -to kempston[0] # GPIO_0[26]
set_location_assignment PIN_J21 -to GPIO_0[26]
set_location_assignment PIN_J22 -to kempston[1] # GPIO_0[27]
set_location_assignment PIN_J22 -to GPIO_0[27]
set_location_assignment PIN_K21 -to kempston[2] # GPIO_0[28]
set_location_assignment PIN_K21 -to GPIO_0[28]
set_location_assignment PIN_K22 -to kempston[3] # GPIO_0[29]
set_location_assignment PIN_K22 -to GPIO_0[29]
set_location_assignment PIN_J19 -to kempston[4] # GPIO_0[30]
set_location_assignment PIN_J19 -to GPIO_0[30]
set_location_assignment PIN_J20 -to kempston[5] # GPIO_0[31]
set_location_assignment PIN_J20 -to GPIO_0[31]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
set_instance_assignment -name IO_STANDARD LVTTL -to kempston_gnd
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to kempston[4]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to kempston[3]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to kempston[2]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to kempston[1]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to kempston[0]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
Line 549... Line 548...
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "NORMAL COMPILATION"
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "NORMAL COMPILATION"
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "NORMAL COMPILATION"
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "NORMAL COMPILATION"
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
Line 582... Line 581...
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED
set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF
set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE OPTIMISTIC
set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE OPTIMISTIC
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
set_global_assignment -name VERILOG_FILE ../../cpu/bus/bus_switch.v
set_global_assignment -name VERILOG_FILE ../../cpu/bus/bus_switch.v
set_global_assignment -name VERILOG_FILE ../../cpu/control/execute.v
set_global_assignment -name VERILOG_FILE ../../cpu/control/execute.v
Line 637... Line 636...
set_global_assignment -name VHDL_FILE ula/i2c_loader.vhd
set_global_assignment -name VHDL_FILE ula/i2c_loader.vhd
set_global_assignment -name SYSTEMVERILOG_FILE ula/clocks.sv
set_global_assignment -name SYSTEMVERILOG_FILE ula/clocks.sv
set_global_assignment -name SYSTEMVERILOG_FILE zxspectrum_de1.sv
set_global_assignment -name SYSTEMVERILOG_FILE zxspectrum_de1.sv
set_global_assignment -name QIP_FILE pll.qip
set_global_assignment -name QIP_FILE pll.qip
set_global_assignment -name QIP_FILE ram16.qip
set_global_assignment -name QIP_FILE ram16.qip
 
set_global_assignment -name SDC_FILE zxspectrum_de1.sdc
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.