OpenCores
URL https://opencores.org/ocsvn/adv_debug_sys/adv_debug_sys/trunk

Subversion Repositories adv_debug_sys

[/] [adv_debug_sys/] [trunk/] [Software/] [adv_jtag_bridge/] [adv_dbg_commands.h] - Diff between revs 14 and 42

Show entire file | Details | Blame | View Log

Rev 14 Rev 42
Line 9... Line 9...
#define DBG_MAX_MODULES 4  // used to size an array
#define DBG_MAX_MODULES 4  // used to size an array
 
 
#define DC_WISHBONE 0
#define DC_WISHBONE 0
#define DC_CPU0     1
#define DC_CPU0     1
#define DC_CPU1     2
#define DC_CPU1     2
 
#define DC_JSP      3
 
 
// Polynomial for the CRC calculation
// Polynomial for the CRC calculation
// Yes, it's backwards.  Yes, this is on purpose.
// Yes, it's backwards.  Yes, this is on purpose.
// The hardware is designed this way to save on logic and routing,
// The hardware is designed this way to save on logic and routing,
// and it's really all the same to us here.
// and it's really all the same to us here.
Line 67... Line 68...
int adbg_ctrl_write(unsigned long regidx, uint32_t *cmd_data, int length_bits);
int adbg_ctrl_write(unsigned long regidx, uint32_t *cmd_data, int length_bits);
int adbg_ctrl_read(unsigned long regidx, uint32_t *data, int databits);
int adbg_ctrl_read(unsigned long regidx, uint32_t *data, int databits);
int adbg_burst_command(unsigned int opcode, unsigned long address, int length_words);
int adbg_burst_command(unsigned int opcode, unsigned long address, int length_words);
int adbg_wb_burst_read(int word_size_bytes, int word_count, unsigned long start_address, void *data);
int adbg_wb_burst_read(int word_size_bytes, int word_count, unsigned long start_address, void *data);
int adbg_wb_burst_write(void *data, int word_size_bytes, int word_count, unsigned long start_address);
int adbg_wb_burst_write(void *data, int word_size_bytes, int word_count, unsigned long start_address);
 
int adbg_jsp_transact(unsigned int *bytes_to_send, const char *data_to_send, unsigned int *bytes_received, char *data_received);
 
 
#endif
#endif
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.