OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [sw/] [iss/] [iss.cc] - Diff between revs 198 and 199

Show entire file | Details | Blame | View Log

Rev 198 Rev 199
Line 15... Line 15...
  You should have received a copy of the GNU General Public License
  You should have received a copy of the GNU General Public License
  along with this program.  If not, see
  along with this program.  If not, see
  <http://www.gnu.org/licenses/>.
  <http://www.gnu.org/licenses/>.
*/
*/
 
 
#include "mem/aembInstMemory.hh"
#include "mem/InstMemory.hh"
 
#include "cpu/FetchUnit.hh"
 
 
#include <stdio.h>
#include <stdio.h>
 
 
using namespace std;
using namespace std;
 
using namespace aemb;
 
 
int main(int argc, char *argv[])
int main(int argc, char *argv[])
{
{
 
 
        printf("AEMB-ISS  Copyright (C) 2009 Shawn Tan <shawn.tan@aeste.net>\n");
        printf("AEMB-ISS  Copyright (C) 2009 Shawn Tan <shawn.tan@aeste.net>\n");
        printf("This program comes with ABSOLUTELY NO WARRANTY.\n");
        printf("This program comes with ABSOLUTELY NO WARRANTY.\n");
        printf("This is free software, and you are welcome to redistribute it under certain conditions.\n");
        printf("This is free software, and you are welcome to redistribute it under certain conditions.\n");
 
 
        aembInstMemory imem;
        InstMemory imem;
        imem.getVmem();
        FetchUnit inst;
        imem.dumpMem();
 
 
 
 
        imem.readVmem();
 
        //imem.dumpMem();
 
 
 
        InstFormat i;
 
 
 
        for (int j=0x100; j<512; j += 4) {
 
                i = inst.tokInst(imem.getInst(j)); //inst.getDecoded(imem.getInst(0));  
 
                printf("\nOPC:%.2o RD:%.2d RA:%.2d RB:%.2d", i.r.op, i.r.rd, i.r.ra, i.r.rb);
 
                printf("\nOPC:%.2o RD:%.2d RA:%.2d IMM:%.8x", i.i.op, i.i.rd, i.i.ra, i.i.im);
 
        }
        return 0;
        return 0;
}
}
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.