OpenCores
URL https://opencores.org/ocsvn/aes_decry_ip_128bit/aes_decry_ip_128bit/trunk

Subversion Repositories aes_decry_ip_128bit

[/] [aes_decry_ip_128bit/] [trunk/] [testbench/] [simulate.do] - Diff between revs 5 and 6

Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
vlib work
vlib work
vcom ../key_schd/*.vhd
vcom ../rtl/key_schd/*.vhd
vcom ../*.vhd
vcom ../rtl/*.vhd
vcom ./*.vhd
vcom ./*.vhd
vsim -novopt tb_AES_decrypt
vsim -novopt tb_AES_decrypt
 
 
add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/clk
add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/clk
add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/reset
add wave -noupdate -format Logic -radix unsigned /tb_AES_decrypt/reset

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.