OpenCores
URL https://opencores.org/ocsvn/ahb_slave/ahb_slave/trunk

Subversion Repositories ahb_slave

[/] [ahb_slave/] [trunk/] [src/] [base/] [ahb_slave_ram.v] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 39... Line 39...
   reg                        HRESP;
   reg                        HRESP;
   reg                        timeout_stall;
   reg                        timeout_stall;
 
 
   reg [1:0]                   HSIZE_d;
   reg [1:0]                   HSIZE_d;
   wire                       WR_pre;
   wire                       WR_pre;
 
   reg                        WR_pre_d;
 
   wire                       WR;
   wire [ADDR_BITS-1:0]       ADDR_WR_pre;
   wire [ADDR_BITS-1:0]       ADDR_WR_pre;
   reg                        WR;
 
   reg [ADDR_BITS-1:0]         ADDR_WR;
   reg [ADDR_BITS-1:0]         ADDR_WR;
   reg                        data_phase;
   reg                        data_phase;
 
 
   wire [7:0]                  BSEL_wide;
   wire [7:0]                  BSEL_wide;
 
 
Line 131... Line 132...
   assign                     HRDATA = HREADY & data_phase ? DOUT : 'd0;
   assign                     HRDATA = HREADY & data_phase ? DOUT : 'd0;
   assign                     HREADY = HTRANS == TRANS_STALL ? 1'b0 : (~timeout_stall) & (~STALL);
   assign                     HREADY = HTRANS == TRANS_STALL ? 1'b0 : (~timeout_stall) & (~STALL);
 
 
 
 
   assign                     WR_pre      = HWRITE & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ));
   assign                     WR_pre      = HWRITE & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ));
 
   assign                     WR          = WR_pre_d & HREADY;
   assign                     RD          = (~HWRITE) & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ)) & HREADY;
   assign                     RD          = (~HWRITE) & ((HTRANS == TRANS_NONSEQ) | (HTRANS == TRANS_SEQ)) & HREADY;
   assign                     ADDR_WR_pre = {ADDR_BITS{WR_pre}} & HADDR;
   assign                     ADDR_WR_pre = {ADDR_BITS{WR_pre}} & HADDR;
   assign                     ADDR_RD     = {ADDR_BITS{RD}} & HADDR;
   assign                     ADDR_RD     = {ADDR_BITS{RD}} & HADDR;
   assign                     DIN         = HWDATA;
   assign                     DIN         = HWDATA;
 
 
Line 166... Line 168...
 
 
 
 
   always @(posedge clk or posedge reset)
   always @(posedge clk or posedge reset)
     if (reset)
     if (reset)
       begin
       begin
          WR <= #FFD 1'b0;
          WR_pre_d <= #FFD 1'b0;
          ADDR_WR <= #FFD {ADDR_BITS{1'b0}};
          ADDR_WR <= #FFD {ADDR_BITS{1'b0}};
          HSIZE_d <= #FFD 2'b0;
          HSIZE_d <= #FFD 2'b0;
       end
       end
     else if (HREADY)
     else if (HREADY)
       begin
       begin
          WR <= #FFD WR_pre;
          WR_pre_d <= #FFD WR_pre;
          ADDR_WR <= #FFD ADDR_WR_pre;
          ADDR_WR <= #FFD ADDR_WR_pre;
          HSIZE_d <= #FFD HSIZE;
          HSIZE_d <= #FFD HSIZE;
       end
       end
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.