OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [tb/] [dumpvcd.v] - Diff between revs 15 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 17
Line 62... Line 62...
    $dumpvars(1, `U_DECOMPILE.xINSTRUCTION_EXECUTE);
    $dumpvars(1, `U_DECOMPILE.xINSTRUCTION_EXECUTE);
    $dumpvars(1, `U_EXECUTE.o_write_enable);
    $dumpvars(1, `U_EXECUTE.o_write_enable);
    $dumpvars(1, `U_EXECUTE.o_exclusive);
    $dumpvars(1, `U_EXECUTE.o_exclusive);
    $dumpvars(1, `U_EXECUTE.o_write_data);
    $dumpvars(1, `U_EXECUTE.o_write_data);
    $dumpvars(1, `U_EXECUTE.base_address);
    $dumpvars(1, `U_EXECUTE.base_address);
 
    $dumpvars(1, `U_EXECUTE.pc);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r0);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r0);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r1);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r1);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r2);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r2);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r3);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r3);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r4);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r4);
Line 77... Line 78...
    $dumpvars(1, `U_EXECUTE.u_register_bank.r10);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r10);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r11);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r11);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r12);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r12);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r13_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r13_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r14_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r14_out);
 
    $dumpvars(1, `U_EXECUTE.u_register_bank.r14_irq);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r15);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r15);
 
 
 
 
    $dumpvars(1, `U_FETCH);
    $dumpvars(1, `U_FETCH);
    $dumpvars(1, `U_CACHE);
    $dumpvars(1, `U_CACHE);
    $dumpvars(1, `U_DECODE);
    $dumpvars(1, `U_DECODE);
//     $dumpvars(1, `U_COPRO15);
 
    $dumpvars(1, `U_WISHBONE);
    $dumpvars(1, `U_WISHBONE);
    $dumpvars(1, `U_AMBER);
    $dumpvars(1, `U_AMBER);
 
 
    `ifdef AMBER_A25_CORE
    `ifdef AMBER_A25_CORE
    $dumpvars(1, `U_MEM);
    $dumpvars(1, `U_MEM);
Line 100... Line 101...
always @(posedge `U_DECOMPILE.i_clk)
always @(posedge `U_DECOMPILE.i_clk)
    begin
    begin
    if ( `U_DECOMPILE.clk_count == 10 )
    if ( `U_DECOMPILE.clk_count == 10 )
        begin
        begin
        $dumpon;
        $dumpon;
        $display("Dump on at  %d ticks", `U_DECOMPILE.clk_count);
        $display("\nDump on at  %d ticks", `U_DECOMPILE.clk_count);
        end
        end
 
 
    if ( `U_DECOMPILE.clk_count == 20 )
    if ( `U_DECOMPILE.clk_count == 20 )
        begin
        begin
        $dumpoff;
        $dumpoff;
        $display("Dump off at %d ticks", `U_DECOMPILE.clk_count);
        $display("\nDump off at %d ticks", `U_DECOMPILE.clk_count);
        end
        end
 
 
 
 
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + 0 ) )
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + 0 ) )
        begin
        begin
        $dumpon;
        $dumpon;
        $display("Dump on at  %d ticks", `U_DECOMPILE.clk_count);
        $display("\nDump on at  %d ticks", `U_DECOMPILE.clk_count);
        end
        end
 
 
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH ) )
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH ) )
        begin
        begin
        $dumpoff;
        $dumpoff;
        $display("Dump off at %d ticks", `U_DECOMPILE.clk_count);
        $display("\nDump off at %d ticks", `U_DECOMPILE.clk_count);
        end
        end
 
 
    `ifdef AMBER_TERMINATE
    `ifdef AMBER_TERMINATE
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH + 100) )
    if ( `U_DECOMPILE.clk_count == ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH + 100) )
        begin
        begin
        $display("Automatic test termination after dump has completed");
        $display("\nAutomatic test termination after dump has completed");
        `TB_ERROR_MESSAGE
        `TB_ERROR_MESSAGE
        end
        end
    `endif
    `endif
    end
    end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.