OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [tb/] [tb.v] - Diff between revs 61 and 82

Show entire file | Details | Blame | View Log

Rev 61 Rev 82
Line 36... Line 36...
// Public License along with this source; if not, download it   //
// Public License along with this source; if not, download it   //
// from http://www.opencores.org/lgpl.shtml                     //
// from http://www.opencores.org/lgpl.shtml                     //
//                                                              //
//                                                              //
//////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////
 
 
`timescale  1 ps / 1 ps
`include "global_timescale.vh"
 
`include "system_config_defines.vh"
`include "system_config_defines.v"
`include "global_defines.vh"
`include "global_defines.v"
 
 
 
 
 
module tb();
module tb();
 
 
`include "debug_functions.v"
`include "debug_functions.vh"
`include "system_functions.v"
`include "system_functions.vh"
`include "memory_configuration.v"
`include "memory_configuration.vh"
 
 
reg                     sysrst;
reg                     sysrst;
`ifdef XILINX_VIRTEX6_FPGA
`ifdef XILINX_VIRTEX6_FPGA
reg                     clk_533mhz;
reg                     clk_533mhz;
`endif
`endif
Line 112... Line 111...
wire                    eth_mtxdv;
wire                    eth_mtxdv;
wire                    eth_mtxerr;
wire                    eth_mtxerr;
wire [3:0]              eth_mrxd;
wire [3:0]              eth_mrxd;
wire                    eth_mrxdv;
wire                    eth_mrxdv;
 
 
 
reg  [7*8-1:0]          core_str;
 
 
 
 
// ======================================
// ======================================
// Instantiate FPGA
// Instantiate FPGA
// ======================================
// ======================================
Line 311... Line 311...
        boot_mem_file         = $fopen(`BOOT_MEM_FILE,    "r");
        boot_mem_file         = $fopen(`BOOT_MEM_FILE,    "r");
        if (boot_mem_file == 0)
        if (boot_mem_file == 0)
            begin
            begin
            `TB_ERROR_MESSAGE
            `TB_ERROR_MESSAGE
            $display("ERROR: Can't open input file %s", `BOOT_MEM_FILE);
            $display("ERROR: Can't open input file %s", `BOOT_MEM_FILE);
 
            $finish;
            end
            end
 
 
        if (boot_mem_file != 0)
        if (boot_mem_file != 0)
            begin
            begin
            fgets_return = 1;
            fgets_return = 1;
Line 362... Line 363...
            end
            end
`endif
`endif
 
 
        // Grab the test name from memory    
        // Grab the test name from memory    
        timeout   = `AMBER_TIMEOUT   ;
        timeout   = `AMBER_TIMEOUT   ;
        $display("log file %s, timeout %0d, test name %0s ", `AMBER_LOG_FILE, timeout, `AMBER_TEST_NAME );
        `ifdef AMBER_A25_CORE
 
        core_str = "amber25";
 
        `else
 
        core_str = "amber23";
 
        `endif
 
        $display("Core %s, log file %s, timeout %0d, test name %0s ", core_str, `AMBER_LOG_FILE, timeout, `AMBER_TEST_NAME );
        log_file = $fopen(`AMBER_LOG_FILE, "a");
        log_file = $fopen(`AMBER_LOG_FILE, "a");
        end
        end
 
 
 
 
 
 
Line 468... Line 474...
 
 
// ======================================
// ======================================
// Terminate Test  
// Terminate Test  
// ======================================
// ======================================
`ifdef AMBER_A25_CORE
`ifdef AMBER_A25_CORE
    `include "a25_localparams.v"
    `include "a25_localparams.vh"
    `include "a25_functions.v"
    `include "a25_functions.vh"
`else
`else
    `include "a23_localparams.v"
    `include "a23_localparams.vh"
    `include "a23_functions.v"
    `include "a23_functions.vh"
`endif
`endif
 
 
reg             testfail;
reg             testfail;
wire            test_status_set;
wire            test_status_set;
wire [31:0]     test_status_reg;
wire [31:0]     test_status_reg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.