OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_modelsim/] [pck_injector_test.sv] - Diff between revs 48 and 54

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 48 Rev 54
Line 24... Line 24...
        noc_top         the_noc
        noc_top         the_noc
        (
        (
                .reset(reset),
                .reset(reset),
                .clk(clk),
                .clk(clk),
                .chan_in_all(chan_in_all),
                .chan_in_all(chan_in_all),
                .chan_out_all(chan_out_all)
                .chan_out_all(chan_out_all),
 
                .router_event( )
        );
        );
 
 
        reg [NEw-1 : 0] dest_id [NE-1 : 0];
        reg [NEw-1 : 0] dest_id [NE-1 : 0];
        wire [NEw-1: 0] current_e_addr [NE-1 : 0];
        wire [NEw-1: 0] current_e_addr [NE-1 : 0];
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.