OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [star_noc.sv] - Diff between revs 48 and 54

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 48 Rev 54
Line 1... Line 1...
`timescale 1ns / 1ps
`include "pronoc_def.v"
 
 
/**************************************
/**************************************
 * Module: tree
 * Module: tree
 * Date:2019-01-01
 * Date:2019-01-01
 * Author: alireza
 * Author: alireza
Line 17... Line 17...
                import pronoc_pkg::*;
                import pronoc_pkg::*;
        (
        (
                reset,
                reset,
                clk,
                clk,
                chan_in_all,
                chan_in_all,
                chan_out_all
                chan_out_all,
 
                router_event
        );
        );
 
 
 
 
        input   clk,reset;
        input   clk,reset;
        //local ports
        //Endpoints ports
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
 
 
 
        //Events
 
        output  router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
 
 
 
 
            router_top # (
            router_top # (
                        .P(NE)
                        .P(NE)
                )
                )
                the_router
                the_router
                (
                (
 
                        .current_r_id    (0),
                        .current_r_addr  (1'b0),
                        .current_r_addr  (1'b0),
                        .chan_in         (chan_in_all),
                        .chan_in         (chan_in_all),
                        .chan_out        (chan_out_all),
                        .chan_out        (chan_out_all),
 
                        .router_event    (router_event[0]),
                        .clk             (clk            ),
                        .clk             (clk            ),
                        .reset           (reset          )
                        .reset           (reset          )
                );
                );
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.