OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [tree_noc_top.sv] - Diff between revs 48 and 54

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 48 Rev 54
Line 1... Line 1...
`timescale 1ns / 1ps
`include "pronoc_def.v"
 
 
/**************************************
/**************************************
 * Module: tree
 * Module: tree
 * Date:2019-01-01
 * Date:2019-01-01
 * Author: alireza
 * Author: alireza
Line 17... Line 17...
                import pronoc_pkg::*;
                import pronoc_pkg::*;
        (
        (
                reset,
                reset,
                clk,
                clk,
                chan_in_all,
                chan_in_all,
                chan_out_all
                chan_out_all,
 
                router_event
        );
        );
 
 
 
 
        input   clk,reset;
        input   clk,reset;
        //local ports
        //Endpoints ports
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
 
 
 
        //Events
 
        output  router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
 
 
        //all routers port
        //all routers port
        smartflit_chanel_t    router_chan_in   [NR-1 :0][MAX_P-1 : 0];
        smartflit_chanel_t    router_chan_in   [NR-1 :0][MAX_P-1 : 0];
        smartflit_chanel_t    router_chan_out  [NR-1 :0][MAX_P-1 : 0];
        smartflit_chanel_t    router_chan_out  [NR-1 :0][MAX_P-1 : 0];
 
 
 
 
Line 84... Line 88...
        router_top # (
        router_top # (
                        .P(K)
                        .P(K)
                )
                )
                root_router
                root_router
                (
                (
 
                        .current_r_id    (ROOT_ID),
                        .current_r_addr  (current_r_addr [ROOT_ID]),
                        .current_r_addr  (current_r_addr [ROOT_ID]),
                        .chan_in         (router_chan_in [ROOT_ID][K-1:0]),
                        .chan_in         (router_chan_in [ROOT_ID][K-1:0]),
                        .chan_out        (router_chan_out[ROOT_ID][K-1:0]),
                        .chan_out        (router_chan_out[ROOT_ID][K-1:0]),
 
                        .router_event    (router_event[ROOT_ID][K-1 : 0]),
                        .clk             (clk            ),
                        .clk             (clk            ),
                        .reset           (reset          )
                        .reset           (reset          )
                );
                );
 
 
 
 
Line 101... Line 107...
        generate
        generate
                for( level=1; level
                for( level=1; level
                        localparam NPOS1 = powi(K,level); // number of routers in this level
                        localparam NPOS1 = powi(K,level); // number of routers in this level
                        localparam NRATTOP1 = sum_powi ( K,level); // number of routers at top levels : from root until last level
                        localparam NRATTOP1 = sum_powi ( K,level); // number of routers at top levels : from root until last level
                        for( pos=0; pos
                        for( pos=0; pos
 
                localparam RID = NRATTOP1+pos;
                                router_top # (
                                router_top # (
                                                .P(K+1)// leaves have K+1 port number
                                                .P(K+1)// leaves have K+1 port number
                                        )
                                        )
                                        the_router
                                        the_router
                                        (
                                        (
                                                .current_r_addr  (current_r_addr [NRATTOP1+pos]),
                                                .current_r_id    (RID),
                                                .chan_in         (router_chan_in [NRATTOP1+pos]),
                                                .current_r_addr  (current_r_addr [RID]),
                                                .chan_out        (router_chan_out[NRATTOP1+pos]),
                                                .chan_in         (router_chan_in [RID]),
 
                                                .chan_out        (router_chan_out[RID]),
 
                                                .router_event    (router_event[RID]),
                                                .clk             (clk            ),
                                                .clk             (clk            ),
                                                .reset           (reset          )
                                                .reset           (reset          )
                                        );
                                        );
 
 
                        end//pos
                        end//pos

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.