OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_verilator/] [simulator.cpp] - Diff between revs 32 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 38
Line 14... Line 14...
 
 
#include <verilated.h>          // Defines common routines
#include <verilated.h>          // Defines common routines
#include "Vrouter.h"               // From Verilating "router.v"
#include "Vrouter.h"               // From Verilating "router.v"
#include "Vnoc.h"
#include "Vnoc.h"
#include "Vtraffic.h"
#include "Vtraffic.h"
 
 
 
 
 
 
 
 
#include "parameter.h"
#include "parameter.h"
//#include "traffic_tabel.h"
 
 
 
 
 
 
 
 
#ifndef NC 
#define  NC             (NX*NY)
#define  NC             (NX*NY)
 
#endif
#define  RATIO_INIT             2
#define  RATIO_INIT             2
 
 
unsigned char FIXED_SRC_DST_PAIR;
#define SYNTHETIC 0
 
#define CUSTOM 1 
 
#define DISABLE -1
 
 
unsigned char  Xw=0,Yw=0;
#include "traffic_task_graph.h"
 
 
 
 
 
 
 
 
//Vrouter *router;
//Vrouter *router;
Vrouter                 *router[NC];                     // Instantiation of module
Vrouter                 *router[NC];                     // Instantiation of module
Vnoc                    *noc;
Vnoc                    *noc;
Vtraffic                *traffic[NC];
Vtraffic                *traffic[NC];
 
 
 
 
 
char * TRAFFIC;
 
unsigned char FIXED_SRC_DST_PAIR;
 
unsigned char  Xw=0,Yw=0;
unsigned long int main_time = 0;     // Current simulation time
unsigned long int main_time = 0;     // Current simulation time
unsigned int saved_time = 0;
unsigned int saved_time = 0;
 
 
 
 
unsigned int total_pck_num=0;
unsigned int total_pck_num=0;
unsigned int sum_clk_h2h,sum_clk_h2t;
unsigned int sum_clk_h2h,sum_clk_h2t;
 
 
double           sum_clk_per_hop;
double           sum_clk_per_hop;
const int  CC=(C==0)? 1 : C;
const int  CC=(C==0)? 1 : C;
 
 
unsigned int total_pck_num_per_class[CC]={0};
unsigned int total_pck_num_per_class[CC]={0};
unsigned int sum_clk_h2h_per_class[CC]={0};
unsigned int sum_clk_h2h_per_class[CC]={0};
unsigned int sum_clk_h2t_per_class[CC]={0};
unsigned int sum_clk_h2t_per_class[CC]={0};
double           sum_clk_per_hop_per_class[CC]={0};
double           sum_clk_per_hop_per_class[CC]={0};
 
 
 
unsigned int rsvd_core_total_pck_num[NC]= {0};
 
unsigned int rsvd_core_worst_delay[NC] =  {0};
 
unsigned int sent_core_total_pck_num[NC]= {0};
 
unsigned int sent_core_worst_delay[NC] =  {0};
 
unsigned int random_var[NC] = {100};
 
 
unsigned int clk_counter;
unsigned int clk_counter;
unsigned int count_en;
unsigned int count_en;
unsigned int total_router;
unsigned int total_router;
 
 
 
int reset,clk;
 
 
 
 
 
 
 
 
char all_done=0;
char all_done=0;
 
 
unsigned int flit_counter =0;
unsigned int flit_counter =0;
 
 
char ratio=RATIO_INIT;
int ratio=RATIO_INIT;
double first_avg_latency_flit,current_avg_latency_flit;
double first_avg_latency_flit,current_avg_latency_flit;
 
 
double sc_time_stamp ();
double sc_time_stamp ();
int pow2( int );
int pow2( int );
 
 
 
 
 
 
int reset,clk;
 
 
 
#if (STND_DEV_EN)
#if (STND_DEV_EN)
        #include <math.h>
        #include <math.h>
        double       sum_clk_pow2=0;
        double       sum_clk_pow2=0;
        double       sum_clk_pow2_per_class[C]={0};
        double       sum_clk_pow2_per_class[C]={0};
        double standard_dev( double , unsigned int, double);
        double standard_dev( double , unsigned int, double);
#endif
#endif
 
 
void update_noc_statistic (
void update_noc_statistic (
        unsigned int,
        int
        unsigned int,
 
    unsigned int,
 
    unsigned int
 
);
);
 
 
 
 
void pck_dst_gen (
void pck_dst_gen (
    unsigned int,
    unsigned int,
Line 107... Line 109...
 
 
 
 
 
 
void print_statistic (char *);
void print_statistic (char *);
void print_parameter();
void print_parameter();
 
void reset_all_register();
 
unsigned int rnd_between (unsigned int, unsigned int );
 
 
 
 
 
 
void reset_all_register();
 
 
 
 
 
 
 
char * TRAFFIC;
 
 
 
int PACKET_SIZE;
int TRAFFIC_TYPE=SYNTHETIC;
 
int PACKET_SIZE=5;
 
int MIN_PACKET_SIZE=5;
 
int MAX_PACKET_SIZE=5;
int MAX_PCK_NUM;
int MAX_PCK_NUM;
int MAX_SIM_CLKs;
int MAX_SIM_CLKs;
int inject_ratios[100];
 
int C0_p=100, C1_p=0, C2_p=0, C3_p=0;
int C0_p=100, C1_p=0, C2_p=0, C3_p=0;
 
 
 
 
int  HOTSPOT_PERCENTAGE;
 
int  HOTSPOT_NUM;
int  HOTSPOT_NUM;
int  HOTSPOT_CORE_1, HOTSPOT_CORE_2, HOTSPOT_CORE_3, HOTSPOT_CORE_4,HOTSPOT_CORE_5;
typedef struct HOTSPOT_NODE {
 
        int  ip_num;
 
        char send_enable;
 
        int  percentage; // x10 
 
} hotspot_st;
 
 
 
hotspot_st * hotspots;
 
 
 
 
 
 
 
 
 
 
void  usage(){
void  usage(){
        printf(" ./simulator -t [Traffic Pattern]  -s  [PACKET_SIZE]  -n  [MAX_PCK_NUM]  c      [MAX_SIM_CLKs]   -i [INJECTION_RATIO] -p [class traffic ratios %]  -h[HOTSPOT info] \n");
        printf(" ./simulator -f [Traffic Pattern file]\n\nor\n");
 
 
 
 
 
        printf(" ./simulator -t [Traffic Pattern]  -s  [MIN_PCK_SIZE] -m [MAX_PCK_SIZE] -n  [MAX_PCK_NUM]  c    [MAX SIM CLKs]   -i [INJECTION RATIO] -p [class traffic ratios (%%)]  -h[HOTSPOT info] \n");
        printf("      Traffic Pattern: \"HOTSPOT\" \"RANDOM\" \"TORNADO\" \"BIT_REVERSE\"  \"BIT_COMPLEMENT\"  \"TRANSPOSE1\"   \"TRANSPOSE2\"\n");
        printf("      Traffic Pattern: \"HOTSPOT\" \"RANDOM\" \"TORNADO\" \"BIT_REVERSE\"  \"BIT_COMPLEMENT\"  \"TRANSPOSE1\"   \"TRANSPOSE2\"\n");
        printf("      PACKET_SIZE: packet size in flit\n ");
        printf("      MIN_PCK_SIZE: Minimum packet size in flit. The injected packet size is randomly selected between minimum and maximum packet size\n ");
 
        printf("      MAX_PCK_SIZE: Maximum packet size in flit. The injected packet size is randomly selected between minimum and maximum packet size\n ");
 
 
        printf("      MAX_PCK_NUM: total number of sent packets. Simulation will stop when total of sent packet by all nodes reach this number\n");
        printf("      MAX_PCK_NUM: total number of sent packets. Simulation will stop when total of sent packet by all nodes reach this number\n");
        printf("      MAX_SIM_CLKs: simulation clock limit. Simulation will stop when simulation clock number reach this value \n");
        printf("      MAX_SIM_CLKs: simulation clock limit. Simulation will stop when simulation clock number reach this value \n");
        printf("      INJECTION_RATIO: packet injection ratios");
        printf("      INJECTION_RATIO: packet injection ratio");
        printf("      class traffic ratios %: The percentage of traffic injected for each class. represented in string whit each clas ratio is seprated by coma. \"n0,n1,n2..\" \n");
        printf("      class traffic ratios %%: The percentage of traffic injected for each class. represented in string whit each class ratio is separated by comma. \"n0,n1,n2..\" \n");
        printf("      HOTSPOT info: represented in a string with following format:  \"HOTSPOT_PERCENTAGE,HOTSOPT_NUM,HOTSPOT_CORE_1,HOTSPOT_CORE_2,HOTSPOT_CORE_3,HOTSPOT_CORE_4,HOTSPOT_CORE_5\" \n");
        printf("      hotspot traffic info: represented in a string with following format:  \"HOTSPOT PERCENTAGE,HOTSPOT NUM,HOTSPOT CORE 1,HOTSPOT CORE 2,HOTSPOT CORE 3,HOTSPOT CORE 4,HOTSPOT CORE 5, ENABLE HOTSPOT CORES SEND \"   \n");
}
}
 
 
 
 
int parse_string ( char * str, int * array)
int parse_string ( char * str, int * array)
{
{
Line 152... Line 172...
        pt = strtok (NULL, ",");
        pt = strtok (NULL, ",");
    }
    }
   return i;
   return i;
}
}
 
 
 
void update_hotspot(char * str){
 
         int i;
 
         int array[1000];
 
         int p;
 
         int acuum=0;
 
         hotspot_st * new_node;
 
         p= parse_string (str, array);
 
         if (p<4){
 
                        printf("Error in hotspot traffic parameters \n");
 
                        exit(1);
 
         }
 
         HOTSPOT_NUM=array[0];
 
         if (p<1+HOTSPOT_NUM*3){
 
                        printf("Error in hotspot traffic parameters \n");
 
                        exit(1);
 
         }
 
         new_node =  (hotspot_st *) malloc( HOTSPOT_NUM * sizeof(hotspot_st));
 
         if( new_node == NULL){
 
        printf("Error: cannot allocate memory for hotspot traffic\n");
 
            exit(1);
 
         }
 
         for (i=1;i<3*HOTSPOT_NUM; i+=3){
 
                new_node[i/3]. ip_num = array[i];
 
            new_node[i/3]. send_enable=array[i+1];
 
            new_node[i/3]. percentage =  acuum + array[i+2];
 
            acuum= new_node[i/3]. percentage;
 
 
 
         }
 
         if(acuum> 1000){
 
                        printf("Warning: The hotspot traffic summation %f exceed than 100 percent.  \n", (float) acuum /10);
 
 
 
         }
 
 
 
         hotspots=new_node;
 
}
 
 
 
 
 
 
 
 
 
 
 
 
 
 
void processArgs (int argc, char **argv )
void processArgs (int argc, char **argv )
{
{
   char c;
   char c;
   int p;
   int p;
   int array[10];
   int array[10];
 
   float f;
 
 
   /* don't want getopt to moan - I can do that just fine thanks! */
   /* don't want getopt to moan - I can do that just fine thanks! */
   opterr = 0;
   opterr = 0;
   if (argc < 2)  usage();
   if (argc < 2)  usage();
   while ((c = getopt (argc, argv, "t:s:n:c:i:p:h:")) != -1)
   while ((c = getopt (argc, argv, "t:s:m:n:c:i:p:h:f:")) != -1)
      {
      {
         switch (c)
         switch (c)
            {
            {
 
                case 'f':
 
                        TRAFFIC_TYPE=CUSTOM;
 
                        TRAFFIC=(char *) "CUSTOM from file";
 
                        load_traffic_file(optarg,task_graph_data,task_graph_abstract);
 
                        MAX_PCK_NUM=task_graph_total_pck_num;
 
                        break;
            case 't':
            case 't':
                        TRAFFIC=optarg;
                        TRAFFIC=optarg;
 
                        total_active_routers=-1;
                        break;
                        break;
                case 's':
                case 's':
                        PACKET_SIZE=atoi(optarg);
                        MIN_PACKET_SIZE=atoi(optarg);
 
                        break;
 
                case 'm':
 
                        MAX_PACKET_SIZE=atoi(optarg);
                        break;
                        break;
                case 'n':
                case 'n':
                         MAX_PCK_NUM=atoi(optarg);
                         MAX_PCK_NUM=atoi(optarg);
                         break;
                         break;
                case 'c':
                case 'c':
                         MAX_SIM_CLKs=atoi(optarg);
                         MAX_SIM_CLKs=atoi(optarg);
                         break;
                         break;
                case 'i':
                case 'i':
                         ratio=atoi(optarg);
                         f=atof(optarg);
 
                         f*=(MAX_RATIO/100);
 
                         ratio= (int) f;
                         break;
                         break;
                case 'p':
                case 'p':
                        p= parse_string (optarg, array);
                        p= parse_string (optarg, array);
                    C0_p=array[0];
                    C0_p=array[0];
                    C1_p=array[1];
                    C1_p=array[1];
                    C2_p=array[2];
                    C2_p=array[2];
                    C3_p=array[3];
                    C3_p=array[3];
                        break;
                        break;
 
 
                case 'h':
                case 'h':
                         p= parse_string (optarg, array);
 
                         HOTSPOT_PERCENTAGE=array[0];
                        update_hotspot(optarg);
                         HOTSPOT_NUM=array[1];
 
                         HOTSPOT_CORE_1=array[2];
 
                         HOTSPOT_CORE_2=array[3];
 
                         HOTSPOT_CORE_3=array[4];
 
                         HOTSPOT_CORE_4=array[5];
 
                         HOTSPOT_CORE_5=array[6];
 
 
 
                         break;
                         break;
 
 
 
 
 
 
Line 214... Line 284...
            default:
            default:
               usage();
               usage();
               exit(1);
               exit(1);
            }
            }
      }
      }
 
   PACKET_SIZE=(MIN_PACKET_SIZE+MAX_PACKET_SIZE)/2;// average packet size
}
}
 
 
 
 
int main(int argc, char** argv) {
int main(int argc, char** argv) {
        char change_injection_ratio=0,inject_done;
        char change_injection_ratio=0,inject_done;
Line 229... Line 300...
        int flit_out_all_size = sizeof(router[0]->flit_out_all)/sizeof(router[0]->flit_out_all[0]);
        int flit_out_all_size = sizeof(router[0]->flit_out_all)/sizeof(router[0]->flit_out_all[0]);
        while((0x1<<Xw) < NX)Xw++; //log2
        while((0x1<<Xw) < NX)Xw++; //log2
        while((0x1<<Yw) < NY)Yw++;
        while((0x1<<Yw) < NY)Yw++;
 
 
 
 
        processArgs ( argc,  argv );
 
 
 
 
 
        FIXED_SRC_DST_PAIR = strcmp (TRAFFIC,"RANDOM") &  strcmp(TRAFFIC,"HOTSPOT") & strcmp(TRAFFIC,"random") & strcmp(TRAFFIC,"hot spot");
 
 
 
 
 
 
 
 
 
 
 
 
 
        Verilated::commandArgs(argc, argv);   // Remember args
        Verilated::commandArgs(argc, argv);   // Remember args
 
 
        for(i=0;i<NC;i++)        router[i]       = new Vrouter;             // Create instance
        for(i=0;i<NC;i++)        router[i]       = new Vrouter;             // Create instance
        noc                                                             = new Vnoc;
        noc                                                             = new Vnoc;
        for(i=0;i<NC;i++)        traffic[i]  = new Vtraffic;
        for(i=0;i<NC;i++)        traffic[i]  = new Vtraffic;
 
 
 
        processArgs ( argc,  argv );
 
 
 
 
 
        FIXED_SRC_DST_PAIR = strcmp (TRAFFIC,"RANDOM") &  strcmp(TRAFFIC,"HOTSPOT") & strcmp(TRAFFIC,"random") & strcmp(TRAFFIC,"hot spot") & strcmp(TRAFFIC,"CUSTOM from file");
 
 
 
 
        /********************
        /********************
        *       initialize input
        *       initialize input
        *********************/
        *********************/
 
 
        reset=1;
        reset=1;
        reset_all_register();
        reset_all_register();
        noc->start_i=0;
        noc->start_i=0;
 
 
 
 
        for(x=0;x<NX;x++)for(y=0;y<NY;y++){
        for(x=0;x<NX;x++)for(y=0;y<NY;y++){
 
 
                                        i=(y*NX)+x;
                                        i=(y*NX)+x;
 
                                        random_var[i] = 100;
                                        router[i]->current_x            = x;
                                        router[i]->current_x            = x;
                                        router[i]->current_y            = y;
                                        router[i]->current_y            = y;
                                        traffic[i]->current_x           = x;
                                        traffic[i]->current_x           = x;
                                        traffic[i]->current_y           = y;
                                        traffic[i]->current_y           = y;
                                        traffic[i]->start=0;
                                        traffic[i]->start=0;
                                        traffic[i]->pck_size_in=PACKET_SIZE;
 
                                        traffic[i]->ratio=ratio;
 
                                        traffic[i]->pck_class_in=  pck_class_in_gen( i);
                                        traffic[i]->pck_class_in=  pck_class_in_gen( i);
                                        pck_dst_gen ( x,y,i, &dest_x, &dest_y);
                                        pck_dst_gen ( x,y,i, &dest_x, &dest_y);
                                        traffic[i]->dest_x= dest_x;
                                        traffic[i]->dest_x= dest_x;
                                        traffic[i]->dest_y=dest_y;
                                        traffic[i]->dest_y=dest_y;
 
                                        traffic[i]->stop=0;
 
                                        if(TRAFFIC_TYPE==SYNTHETIC){
 
                                                traffic[i]->pck_size_in=PACKET_SIZE;
 
                                                traffic[i]->avg_pck_size_in=PACKET_SIZE;
 
                                                traffic[i]->ratio=ratio;
 
                                                traffic[i]->init_weight=1;
        }
        }
 
 
 
 
 
        }
 
        //traffic[35]->init_weight=10;
 
 
 
 
 
 
        main_time=0;
        main_time=0;
        print_parameter();
        print_parameter();
        printf("\n\n\n Flit injection ratio per router is =%d \n",ratio);
        if(strcmp(TRAFFIC,"CUSTOM from file")) printf("\n\n\n Flit injection ratio per router is =%f \n",(float)ratio*100/MAX_RATIO);
        //printf("\n\n\n delay= %u clk",router->delay);
        //printf("\n\n\n delay= %u clk",router->delay);
        while (!Verilated::gotFinish()) {
        while (!Verilated::gotFinish()) {
 
 
                if (main_time-saved_time >= 10 ) {
                if (main_time-saved_time >= 10 ) {
                        reset = 0;
                        reset = 0;
                }
                }
 
 
                if(main_time == saved_time+21){ count_en=1; noc->start_i=1;}//for(i=0;i<NC;i++) traffic[i]->start=1;}
                if(main_time == saved_time+21){ count_en=1; noc->start_i=1;}//for(i=0;i<NC;i++) traffic[i]->start=1;}
                if(main_time == saved_time+26) noc->start_i=0;// for(i=0;i<NC;i++) traffic[i]->start=0;
                if(main_time == saved_time+26) noc->start_i=0;// for(i=0;i<NC;i++) traffic[i]->start=0;
 
 
 
 
 
 
 
 
                if ((main_time % 4) == 0) {
                if ((main_time % 4) == 0) {
                        clk = 1;       // Toggle clock
                        clk = 1;       // Toggle clock
                        if(count_en) clk_counter++;
                        if(count_en) clk_counter++;
                        inject_done= ((total_pck_num >= MAX_PCK_NUM) || (clk_counter>= MAX_SIM_CLKs));
                        inject_done= ((total_pck_num >= MAX_PCK_NUM) || (clk_counter>= MAX_SIM_CLKs) || total_active_routers == 0);
                        //if(inject_done) printf("clk_counter=========%d\n",clk_counter);
                        //if(inject_done) printf("clk_counter=========%d\n",clk_counter);
                        for(x=0;x<NX;x++)for(y=0;y<NY;y++)
                        for(y=0;y<NY;y++)for(x=0;x<NX;x++)
                        {
                        {
                                i=(y*NX)+x;
                                i=(y*NX)+x;
                                // a packet has been received
                                // a packet has been received
                                if(traffic[i]->update & ~reset){
                                if(traffic[i]->update & ~reset){
                                        update_noc_statistic (
                                        update_noc_statistic (i) ;
                                                traffic[i]->time_stamp_h2h,
 
                                                traffic[i]->time_stamp_h2t,
 
                                                traffic[i]->distance,
 
                                                traffic[i]->pck_class_out
 
                                        ) ;
 
                                }
                                }
                                // the header flit has been sent out
                                // the header flit has been sent out
                                if(traffic[i]->hdr_flit_sent ){
                                if(traffic[i]->hdr_flit_sent ){
                                        traffic[i]->pck_class_in=  pck_class_in_gen( i);
                                        traffic[i]->pck_class_in=  pck_class_in_gen( i);
 
                                        sent_core_total_pck_num[i]++;
                                        if(!FIXED_SRC_DST_PAIR){
                                        if(!FIXED_SRC_DST_PAIR){
                                                pck_dst_gen ( x,y,i, &dest_x, &dest_y);
                                                pck_dst_gen ( x,y,i, &dest_x, &dest_y);
                                                traffic[i]->dest_x= dest_x;
                                                traffic[i]->dest_x= dest_x;
                                                traffic[i]->dest_y=dest_y;
                                                traffic[i]->dest_y=dest_y;
                                        }
                                        }
Line 331... Line 400...
                                for(i=0;i<NC;i++) {
                                for(i=0;i<NC;i++) {
                                        router[i]->final();
                                        router[i]->final();
                                        traffic[i]->final();
                                        traffic[i]->final();
                                }
                                }
                                noc->final();
                                noc->final();
 
 
 
 
                                return 0;
                                return 0;
                        }
                        }
 
 
 
 
 
 
Line 352... Line 419...
 
 
 
 
                                router[i]->flit_in_we_all       = noc->router_flit_out_we_all[i];
                                router[i]->flit_in_we_all       = noc->router_flit_out_we_all[i];
                                router[i]->credit_in_all        = noc->router_credit_out_all[i];
                                router[i]->credit_in_all        = noc->router_credit_out_all[i];
                                router[i]->congestion_in_all    = noc->router_congestion_out_all[i];
                                router[i]->congestion_in_all    = noc->router_congestion_out_all[i];
 
                                //router[i]->iport_weight_in_all        = noc->router_iport_weight_out_all[i];
 
 
                                for(j=0;j<flit_out_all_size;j++)router[i]->flit_in_all[j]        = noc->router_flit_out_all[i][j];
                                for(j=0;j<flit_out_all_size;j++)router[i]->flit_in_all[j]        = noc->router_flit_out_all[i][j];
 
 
 
 
                                noc->router_flit_in_we_all[i]   =       router[i]->flit_out_we_all ;
                                noc->router_flit_in_we_all[i]   =       router[i]->flit_out_we_all ;
                                noc->router_credit_in_all[i]    =       router[i]->credit_out_all;
                                noc->router_credit_in_all[i]    =       router[i]->credit_out_all;
                                noc->router_congestion_in_all[i]=       router[i]->congestion_out_all;
                                noc->router_congestion_in_all[i]=       router[i]->congestion_out_all;
 
                                //noc->router_iport_weight_in_all[i]=   router[i]->iport_weight_out_all;
 
 
                                for(j=0;j<flit_out_all_size;j++) noc->router_flit_in_all[i][j]   = router[i]->flit_out_all[j] ;
                                for(j=0;j<flit_out_all_size;j++) noc->router_flit_in_all[i][j]   = router[i]->flit_out_all[j] ;
 
 
                                traffic[i]->flit_in  = noc->ni_flit_out [i];
                                traffic[i]->flit_in  = noc->ni_flit_out [i];
                                traffic[i]->credit_in= noc->ni_credit_out[i];
                                traffic[i]->credit_in= noc->ni_credit_out[i];
 
 
Line 426... Line 497...
}
}
 
 
 
 
 
 
 
 
 
/*************
 
 * sc_time_stamp
 
 *
 
 * **********/
 
 
 
 
 
 
double sc_time_stamp () {       // Called by $time in Verilog
double sc_time_stamp () {       // Called by $time in Verilog
        return main_time;
        return main_time;
Line 452... Line 526...
 *********************************/
 *********************************/
 
 
 
 
 
 
void update_noc_statistic (
void update_noc_statistic (
                unsigned int    clk_num_h2h,
 
                unsigned int    clk_num_h2t,
                int                     core_num
        unsigned int    distance,
 
        unsigned int    class_num
 
)
)
{
{
 
 
 
        unsigned int    clk_num_h2h =traffic[core_num]->time_stamp_h2h;
 
        unsigned int    clk_num_h2t =traffic[core_num]->time_stamp_h2t;
 
    unsigned int    distance=traffic[core_num]->distance;
 
    unsigned int        class_num=traffic[core_num]->pck_class_out;
 
    unsigned int    src_x=traffic[core_num]->src_x;
 
    unsigned int    src_y=traffic[core_num]->src_y;
 
 
 
    unsigned int    src = (src_y*NX)+src_x;
 
 
 
 
 
 
 
 
                        total_pck_num+=1;
                        total_pck_num+=1;
                        //if((total_pck_num & 0Xffff )==0 ) printf("total_pck_num=%d\n",total_pck_num);
 
 
        if((total_pck_num & 0Xffff )==0 ) printf(" packet sent total=%d\n",total_pck_num);
 
 
 
 
                        sum_clk_h2h+=clk_num_h2h;
                        sum_clk_h2h+=clk_num_h2h;
                        sum_clk_h2t+=clk_num_h2t;
                        sum_clk_h2t+=clk_num_h2t;
#if (STND_DEV_EN)
#if (STND_DEV_EN)
                        sum_clk_pow2+=(double)clk_num_h2h * (double) clk_num_h2h;
                        sum_clk_pow2+=(double)clk_num_h2h * (double) clk_num_h2h;
                        sum_clk_pow2_per_class[class_num]+=(double)clk_num_h2h * (double) clk_num_h2h;
                        sum_clk_pow2_per_class[class_num]+=(double)clk_num_h2h * (double) clk_num_h2h;
Line 475... Line 561...
                        total_pck_num_per_class[class_num]+=1;
                        total_pck_num_per_class[class_num]+=1;
                        sum_clk_h2h_per_class[class_num]+=clk_num_h2h ;
                        sum_clk_h2h_per_class[class_num]+=clk_num_h2h ;
                        sum_clk_h2t_per_class[class_num]+=clk_num_h2t ;
                        sum_clk_h2t_per_class[class_num]+=clk_num_h2t ;
                        sum_clk_per_hop_per_class[class_num]+= ((double)clk_num_h2h/(double)distance);
                        sum_clk_per_hop_per_class[class_num]+= ((double)clk_num_h2h/(double)distance);
 
 
 
        rsvd_core_total_pck_num[core_num]=rsvd_core_total_pck_num[core_num]+1;
 
 
 
        if (rsvd_core_worst_delay[core_num] < clk_num_h2t) rsvd_core_worst_delay[core_num] = (strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)?  clk_num_h2t :  clk_num_h2h;
 
    if (sent_core_worst_delay[src] < clk_num_h2t) sent_core_worst_delay[src] = (strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)?  clk_num_h2t :  clk_num_h2h;
 
 
 
 
        }
        }
 
 
/*************************
/*************************
Line 491... Line 580...
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
void print_statistic (char * out_file_name){
void print_statistic (char * out_file_name){
        double avg_latency_per_hop,  avg_latency_flit, avg_latency_pck, avg_throughput,min_avg_latency_per_class;
        double avg_latency_per_hop,  avg_latency_flit, avg_latency_pck, avg_throughput,min_avg_latency_per_class;
        int i;
        int i;
#if (STND_DEV_EN)
#if (STND_DEV_EN)
        double  std_dev;
        double  std_dev;
#endif
#endif
                                        char file_name[100];
                                        char file_name[100];
                                        avg_throughput= ((double)(total_pck_num*PACKET_SIZE*100)/total_router )/clk_counter;
                                        avg_throughput= ((double)(flit_counter*100)/total_router )/clk_counter;
                                        printf(" Total active routers: %d \n",total_router);
                                        printf(" Total active routers: %d \n",total_router);
                                        printf(" Avg throughput is: %f (flits/clk/node %%)\n",    avg_throughput);
                                        printf(" Avg throughput is: %f (flits/clk/node %%)\n",    avg_throughput);
                        avg_latency_flit   = (double)sum_clk_h2h/total_pck_num;
                        avg_latency_flit   = (double)sum_clk_h2h/total_pck_num;
                        avg_latency_pck    = (double)sum_clk_h2t/total_pck_num;
                        avg_latency_pck    = (double)sum_clk_h2t/total_pck_num;
                        if(ratio==RATIO_INIT) first_avg_latency_flit=avg_latency_flit;
                        if(ratio==RATIO_INIT) first_avg_latency_flit=avg_latency_flit;
Line 549... Line 632...
                            printf      (" Total number of packet  = %d \n avg_throughput = %f \n average latency per hop = %f \n average latency = %f\n",total_pck_num_per_class[i],avg_throughput,avg_latency_per_hop,avg_latency_flit);
                            printf      (" Total number of packet  = %d \n avg_throughput = %f \n average latency per hop = %f \n average latency = %f\n",total_pck_num_per_class[i],avg_throughput,avg_latency_per_hop,avg_latency_flit);
                           // sprintf(file_name,"%s_c%u.txt",out_file_name,i);
                           // sprintf(file_name,"%s_c%u.txt",out_file_name,i);
                           // update_file( file_name,avg_throughput,avg_latency_flit );
                           // update_file( file_name,avg_throughput,avg_latency_flit );
 
 
 
 
 
 
 
 
}
}
                            if(min_avg_latency_per_class > avg_latency_flit) min_avg_latency_per_class=avg_latency_flit;
                            if(min_avg_latency_per_class > avg_latency_flit) min_avg_latency_per_class=avg_latency_flit;
 
 
#if (STND_DEV_EN)
#if (STND_DEV_EN)
                            std_dev= (total_pck_num_per_class[i]>0)?  standard_dev( sum_clk_pow2_per_class[i],total_pck_num_per_class[i], avg_latency_flit):0;
                            std_dev= (total_pck_num_per_class[i]>0)?  standard_dev( sum_clk_pow2_per_class[i],total_pck_num_per_class[i], avg_latency_flit):0;
Line 565... Line 646...
 
 
 
 
                         }//for
                         }//for
                        current_avg_latency_flit=min_avg_latency_per_class;
                        current_avg_latency_flit=min_avg_latency_per_class;
 
 
 
        for (i=0;i<NC;i++) {
 
                printf   ("\n\nCore %d\n",i);
 
                        printf   ("\n\ttotal number of received packets: %u\n",rsvd_core_total_pck_num[i]);
 
                        printf   ("\n\tworst-case-delay of received pckets (clks): %u\n",rsvd_core_worst_delay[i] );
 
                        printf   ("\n\ttotal number of sent packets: %u\n",traffic[i]->pck_number);
 
                        printf   ("\n\tworst-case-delay of sent pckets (clks): %u\n",sent_core_worst_delay[i] );
 
        }
 
 
 
 
 
 
}
}
 
 
Line 587... Line 675...
            printf ("\tVC/sw combination mechanism: %s \n", COMBINATION_TYPE);
            printf ("\tVC/sw combination mechanism: %s \n", COMBINATION_TYPE);
            printf ("\troute-subfunction: %s \n", ROUTE_SUBFUNC );
            printf ("\troute-subfunction: %s \n", ROUTE_SUBFUNC );
            printf ("\tAVC_ATOMIC_EN:%d \n", AVC_ATOMIC_EN);
            printf ("\tAVC_ATOMIC_EN:%d \n", AVC_ATOMIC_EN);
            printf ("\tCongestion Index:%d \n",CONGESTION_INDEX);
            printf ("\tCongestion Index:%d \n",CONGESTION_INDEX);
            printf ("\tADD_PIPREG_AFTER_CROSSBAR:%d\n",ADD_PIPREG_AFTER_CROSSBAR);
            printf ("\tADD_PIPREG_AFTER_CROSSBAR:%d\n",ADD_PIPREG_AFTER_CROSSBAR);
 
            printf ("\tSSA_EN enabled:%s \n",SSA_EN);
 
            printf ("\tSwitch allocator arbitration type:%s \n",SWA_ARBITER_TYPE);
 
 
 
 
 
        printf ("\nSimulation parameters\n");
#if(DEBUG_EN)
#if(DEBUG_EN)
            printf ("\tDebuging is enabled\n");
            printf ("\tDebuging is enabled\n");
#else
#else
            printf ("\tDebuging is disabled\n");
            printf ("\tDebuging is disabled\n");
#endif
#endif
 
        if(strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)printf ("\tOutput is the average latency on sending the packet header until receiving tail\n");
        printf ("Simulation parameters\n");
        else printf ("\tOutput is the average latency on sending the packet header until receiving header flit at destination node\n");
        if(strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)printf ("\tOutput is the average latency on sending the packet head until receiving tail\n");
 
        else printf ("\tOutput is the average latency on sending the packet head until receiving the head\n");
 
        printf ("\tTraffic pattern:%s\n",TRAFFIC);
        printf ("\tTraffic pattern:%s\n",TRAFFIC);
        if(C>0) printf ("\ttraffic percentage of class 0 is : %d\n", C0_p);
        if(C>0) printf ("\ttraffic percentage of class 0 is : %d\n", C0_p);
        if(C>1) printf ("\ttraffic percentage of class 1 is : %d\n", C1_p);
        if(C>1) printf ("\ttraffic percentage of class 1 is : %d\n", C1_p);
        if(C>2) printf ("\ttraffic percentage of class 2 is : %d\n", C2_p);
        if(C>2) printf ("\ttraffic percentage of class 2 is : %d\n", C2_p);
        if(C>3) printf ("\ttraffic percentage of class 3 is : %d\n", C3_p);
        if(C>3) printf ("\ttraffic percentage of class 3 is : %d\n", C3_p);
        if((strcmp (TRAFFIC,"HOTSPOT")==0)|| (strcmp (TRAFFIC,"hot spot")==0)){
        if(strcmp (TRAFFIC,"HOTSPOT")==0){
                printf ("\tHot spot percentage: %u\n", HOTSPOT_PERCENTAGE);
                //printf ("\tHot spot percentage: %u\n", HOTSPOT_PERCENTAGE);
            printf ("\tNumber of hot spot cores: %d\n", HOTSPOT_NUM);
            printf ("\tNumber of hot spot cores: %d\n", HOTSPOT_NUM);
 
 
        }
        }
            //printf ("\tTotal packets sent by one router: %u\n", TOTAL_PKT_PER_ROUTER);
            //printf ("\tTotal packets sent by one router: %u\n", TOTAL_PKT_PER_ROUTER);
                printf ("\t Simulation timeout =%d\n", MAX_SIM_CLKs);
                printf ("\t Simulation timeout =%d\n", MAX_SIM_CLKs);
                printf ("\t Simulation ends on total packet num of =%d\n", MAX_PCK_NUM);
                printf ("\t Simulation ends on total packet num of =%d\n", MAX_PCK_NUM);
            printf ("\tPacket size: %u flits\n",PACKET_SIZE);
            printf ("\tPacket size (min,max,average) in flits: (%u,%u,%u)\n",MIN_PACKET_SIZE,MAX_PACKET_SIZE,PACKET_SIZE);
            printf ("\t SSA_EN enabled:%s \n",SSA_EN);
            printf ("\tPacket injector FIFO width in flit:%u \n",TIMSTMP_FIFO_NUM);
}
}
 
 
 
 
 
 
 
 
 
 
/************************
/************************
 *
 *
 *      reset system
 *      reset system
 *
 *
 *
 *
Line 705... Line 796...
 
 
 
 
    return pck_class_in;
    return pck_class_in;
}
}
 
 
 
 
/**********************************
/**********************************
 
 
        pck_dst_gen
        pck_dst_gen
 
 
*********************************/
*********************************/
 
 
void pck_dst_gen (
 
 
 
 
 
 
void pck_dst_gen_2D (
    unsigned int current_x,
    unsigned int current_x,
        unsigned int current_y,
        unsigned int current_y,
        unsigned int core_num,
        unsigned int core_num,
        unsigned int *dest_x,
        unsigned int *dest_x,
        unsigned int *dest_y
        unsigned int *dest_y
){
){
 
 
 
 
        unsigned int rnd=0;
        unsigned int rnd=0,nc=NX*NY;
        unsigned int rnd100=0;
        unsigned int rnd100=0;
 
        unsigned int max_percent=100/HOTSPOT_NUM;
        int i;
        int i;
 
 
 
        traffic[core_num]->pck_size_in=rnd_between(MIN_PACKET_SIZE,MAX_PACKET_SIZE);
 
 
        if((strcmp (TRAFFIC,"RANDOM")==0) || (strcmp (TRAFFIC,"random")==0)){
        if((strcmp (TRAFFIC,"RANDOM")==0) || (strcmp (TRAFFIC,"random")==0)){
 
 
                do{
                do{
                        rnd=rand()%NC;
                        rnd=rand()%nc;
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
 
 
       (*dest_y) = (rnd / NX );
       (*dest_y) = (rnd / NX );
           (*dest_x) = (rnd % NX );
           (*dest_x) = (rnd % NX );
 
 
 
 
        }
        }
 
 
        else if ((strcmp(TRAFFIC,"HOTSPOT")==0) || (strcmp (TRAFFIC,"hot spot")==0)){
        else if ((strcmp(TRAFFIC,"HOTSPOT")==0) || (strcmp (TRAFFIC,"hot spot")==0)){
 
 
 
                unsigned int rnd1000=0;
 
                int i;
 
 
 
 
                do{
                do{
                                rnd=rand()%NC;
                        rnd=rand()%nc;
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
 
 
                rnd100=rand()%100;
                rnd1000=rand()%1000; // generate a random number between 0 & 1000
 
 
                if              (rnd100 < HOTSPOT_PERCENTAGE    && core_num !=HOTSPOT_CORE_1 )  rnd = HOTSPOT_CORE_1;
 
                else if((HOTSPOT_NUM > 1)       && (rnd100 >= 20 )      && (rnd100 < (20+HOTSPOT_PERCENTAGE)) && core_num!=HOTSPOT_CORE_2 )  rnd = HOTSPOT_CORE_2;
 
                else if((HOTSPOT_NUM > 2)       && (rnd100 >= 40)       && (rnd100 < (40+HOTSPOT_PERCENTAGE)) && core_num!=HOTSPOT_CORE_3 )  rnd = HOTSPOT_CORE_3;
 
                else if((HOTSPOT_NUM > 3)       && (rnd100 >= 60)       && (rnd100 < (60+HOTSPOT_PERCENTAGE)) && core_num!=HOTSPOT_CORE_4 )  rnd = HOTSPOT_CORE_4;
 
                else if((HOTSPOT_NUM > 4)       && (rnd100 >= 80)       && (rnd100 < (80+HOTSPOT_PERCENTAGE)) && core_num!=HOTSPOT_CORE_5 )  rnd = HOTSPOT_CORE_5;
 
 
 
 
                for (i=0;i<HOTSPOT_NUM; i++){
 
                        if ( hotspots[i].send_enable == 0 && core_num ==hotspots[i].ip_num){
 
                                rnd = core_num; // turn off the core
 
                                (*dest_y) = (rnd / NX );
 
                                (*dest_x) = (rnd % NX );
 
                                return;
 
                        }
 
                }
 
 
 
                for (i=0;i<HOTSPOT_NUM; i++){
 
                        if (rnd1000 < hotspots[i].percentage && core_num !=hotspots[i].ip_num) {
 
                                rnd = hotspots[i].ip_num;
 
                                (*dest_y) = (rnd / NX );
 
                                (*dest_x) = (rnd % NX );
 
                                return;
 
                        }
 
 
 
                }
                 (*dest_y) = (rnd / NX );
                 (*dest_y) = (rnd / NX );
                 (*dest_x) = (rnd % NX );
                 (*dest_x) = (rnd % NX );
 
                return;
 
 
 
 
        } else if(( strcmp(TRAFFIC ,"TRANSPOSE1")==0)|| (strcmp (TRAFFIC,"transposed 1")==0)){
        } else if(( strcmp(TRAFFIC ,"TRANSPOSE1")==0)|| (strcmp (TRAFFIC,"transposed 1")==0)){
 
 
                 (*dest_x) = NX-current_y-1;
                 (*dest_x) = NX-current_y-1;
Line 768... Line 881...
        } else if(( strcmp(TRAFFIC ,"TRANSPOSE2")==0)|| (strcmp (TRAFFIC,"transposed 2")==0)){
        } else if(( strcmp(TRAFFIC ,"TRANSPOSE2")==0)|| (strcmp (TRAFFIC,"transposed 2")==0)){
                (*dest_x)   = current_y;
                (*dest_x)   = current_y;
                (*dest_y)   = current_x;
                (*dest_y)   = current_x;
 
 
 
 
 
 
        } else if(( strcmp(TRAFFIC ,"BIT_REVERSE")==0)|| (strcmp (TRAFFIC,"bit reverse")==0)){
        } else if(( strcmp(TRAFFIC ,"BIT_REVERSE")==0)|| (strcmp (TRAFFIC,"bit reverse")==0)){
                unsigned int joint_addr= (current_x<<Xw)+current_y;
                unsigned int joint_addr= (current_x<<Xw)+current_y;
                unsigned int reverse_addr=0;
                unsigned int reverse_addr=0;
                unsigned int pos=0;
                unsigned int pos=0;
                for(i=0; i<(Xw+Yw); i++){//reverse the address
                for(i=0; i<(Xw+Yw); i++){//reverse the address
Line 800... Line 914...
     }  else if( strcmp(TRAFFIC ,"CUSTOM") == 0){
     }  else if( strcmp(TRAFFIC ,"CUSTOM") == 0){
                //[(x+(k/2-1)) mod k, (y+(k/2-1)) mod k],
                //[(x+(k/2-1)) mod k, (y+(k/2-1)) mod k],
                if(current_x ==0 && current_y == 0 ){
                if(current_x ==0 && current_y == 0 ){
                 (*dest_x)    =  NX-1;
                 (*dest_x)    =  NX-1;
                 (*dest_y)    =  NY-1;
                 (*dest_y)    =  NY-1;
                }else{// make it unvalid
                }else{// make it invalid
 
                 (*dest_x)    =  current_x;
 
                 (*dest_y)    =  current_y;
 
 
 
                }
 
 
 
     }
 
 
 
         else {
 
                 printf ("traffic %s is an unsupported traffic pattern\n",TRAFFIC);
                 (*dest_x)    =  current_x;
                 (*dest_x)    =  current_x;
                 (*dest_y)    =  current_y;
                 (*dest_y)    =  current_y;
 
 
                }
                }
 
 
     }
     }
 
 
         else printf ("traffic %s is an unsupported traffic pattern\n",TRAFFIC);
 
 
 
 
 
 
void pck_dst_gen_1D (
 
    unsigned int current_x,
 
        unsigned int core_num,
 
        unsigned int *dest_x
 
 
 
){
 
 
 
 
 
        unsigned int rnd=0,nc=NX;
 
        unsigned int rnd100=0;
 
        unsigned int max_percent=100/HOTSPOT_NUM;
 
        int i;
 
 
 
        traffic[core_num]->pck_size_in=rnd_between(MIN_PACKET_SIZE,MAX_PACKET_SIZE);
 
 
 
        if((strcmp (TRAFFIC,"RANDOM")==0) || (strcmp (TRAFFIC,"random")==0)){
 
 
 
                do{
 
                        rnd=rand()%nc;
 
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
 
 
 
           (*dest_x) = (rnd % NX );
 
                return;
 
 
 
        }
 
 
 
        if ((strcmp(TRAFFIC,"HOTSPOT")==0) || (strcmp (TRAFFIC,"hot spot")==0)){
 
 
 
                unsigned int rnd1000=0;
 
                int i;
 
 
 
 
 
                do{
 
                        rnd=rand()%nc;
 
                }while (rnd==core_num); // get a random IP core, make sure its not same as sender core
 
 
 
                rnd1000=rand()%1000; // generate a random number between 0 & 1000
 
 
 
                for (i=0;i<HOTSPOT_NUM; i++){
 
                        //printf("%u==0 && %u == %u\n", hotspots[i].send_enable , core_num , hotspots[i].ip_num);
 
                        if ( hotspots[i].send_enable == 0 && core_num ==hotspots[i].ip_num){
 
                                rnd = core_num; // turn off the core
 
                                (*dest_x) = (rnd % NX );
 
                                return;
 
                        }
 
                }
 
 
 
                for (i=0;i<HOTSPOT_NUM; i++){
 
                        //printf("%u<%u && %u |= %u\n", rnd1000 , hotspots[i].percentage , core_num ,hotspots[i].ip_num);
 
                        if (rnd1000 < hotspots[i].percentage && core_num !=hotspots[i].ip_num) {
 
                                rnd = hotspots[i].ip_num;
 
                                (*dest_x) = (rnd % NX );
 
                                return;
 
                        }
 
 
 
                }
 
        (*dest_x) = (rnd % NX );
 
                return;
 
 
 
        }
 
 
 
 
 
        if(( strcmp(TRAFFIC ,"TRANSPOSE1")==0)|| (strcmp (TRAFFIC,"transposed 1")==0)){
 
 
 
                 //(*dest_x) = (current_x<4)? NX-current_x-1: current_x;
 
                  (*dest_x) =  NX-current_x-1;
 
                // (*dest_y) = NY-current_x-1;
 
                return;
 
 
 
 
 
        }
 
        if(( strcmp(TRAFFIC ,"TRANSPOSE2")==0)|| (strcmp (TRAFFIC,"transposed 2")==0)){
 
                 (*dest_x) = NX-current_x-1;
 
        //      (*dest_x)   = current_y;
 
        //      (*dest_y)   = current_x;
 
                return;
 
 
 
        }
 
 
 
        if(( strcmp(TRAFFIC ,"BIT_REVERSE")==0)|| (strcmp (TRAFFIC,"bit reverse")==0)){
 
 
 
                unsigned int reverse_addr=0;
 
                unsigned int pos=0;
 
                for(i=0; i<(Xw); i++){//reverse the address
 
                         pos= (((Xw)-1)-i);
 
                         reverse_addr|= ((current_x >> pos) & 0x01) << i;
 
                   // reverse_addr[i]  = joint_addr [((Xw+Yw)-1)-i];
 
                }
 
                (*dest_x)   = reverse_addr;
 
                return;
 
 
 
         }
 
 
 
         if(( strcmp(TRAFFIC ,"BIT_COMPLEMENT") ==0)|| (strcmp (TRAFFIC,"bit complement")==0)){
 
 
 
                 (*dest_x)    = (~current_x) &(0xFF>> (8-Xw));
 
                 return;
 
                 //(*dest_y)    = (~current_y) &(0xFF>> (8-Yw));
 
 
 
 
 
         }
 
 
 
         if(( strcmp(TRAFFIC ,"TORNADO") == 0)|| (strcmp (TRAFFIC,"tornado")==0)){
 
                //[(x+(k/2-1)) mod k, (y+(k/2-1)) mod k],
 
                 (*dest_x)    = ((current_x + ((NX/2)-1))%NX);
 
                // (*dest_y)    = ((current_y + ((NY/2)-1))%NY);
 
                return;
 
 
 
     }
 
 
 
     if( strcmp(TRAFFIC ,"CUSTOM") == 0){
 
                //[(x+(k/2-1)) mod k, (y+(k/2-1)) mod k],
 
                if(current_x ==0  ){
 
                 (*dest_x)    =  NX-1;
 
                // (*dest_y)    =  NY-1;
 
                }else{// make it invalid
 
                 (*dest_x)    =  current_x;
 
                 //(*dest_y)    =  current_y;
 
 
 
                }
 
                return;
 
     }
 
 
 
 
 
                 printf ("traffic %s is an unsupported traffic pattern\n",TRAFFIC);
 
                 (*dest_x)    =  current_x;
 
 
 
 
 
}
 
 
 
unsigned int rnd_between (unsigned int a, unsigned int b){
 
        unsigned int rnd,diff,min;
 
        if(a==b) return a;
 
        diff= (a<b) ?  b-a+1 : a-b+1;
 
        min= (a<b) ?  a : b;
 
        rnd = (rand() % diff) +  min;
 
        return rnd;
 
}
 
 
 
void update_injct_var(unsigned int src,  unsigned int injct_var){
 
        //printf("before%u=%u\n",src,random_var[src]);
 
        random_var[src]= rnd_between(100-injct_var, 100+injct_var);
 
        //printf("after=%u\n",random_var[src]);
 
}
 
 
 
unsigned int pck_dst_gen_task_graph ( unsigned int src){
 
         task_t  task;
 
        float f,v;
 
 
 
 
 
 
 
 
 
        int index = task_graph_abstract[src].active_index;
 
 
 
        if(index == DISABLE){
 
                traffic[src]->ratio=0;
 
                traffic[src]->stop=1;
 
                 return src; //disable sending
 
        }
 
 
 
        if(     read(task_graph_data[src],index,&task)==0){
 
                traffic[src]->ratio=0;
 
                traffic[src]->stop=1;
 
                 return src; //disable sending
 
 
 
        }
 
 
 
        if(sent_core_total_pck_num[src] & 0xFF){//sent 255 packets
 
                        //printf("uu=%u\n",task.jnjct_var);
 
                        update_injct_var(src, task.jnjct_var);
 
 
 
                }
 
 
 
        task_graph_total_pck_num++;
 
        task.pck_sent = task.pck_sent +1;
 
        task.burst_sent= task.burst_sent+1;
 
        task.byte_sent = task.byte_sent + (task.avg_pck_size * (Fpay/8) );
 
 
 
        traffic[src]->pck_class_in=  pck_class_in_gen(src);
 
        traffic[src]->avg_pck_size_in=task.avg_pck_size;
 
        traffic[src]->pck_size_in=rnd_between(task.min_pck_size,task.max_pck_size);
 
 
 
        f=  task.injection_rate;
 
        v= random_var[src];
 
        f*= (v /100);
 
        if(f>100) f= 100;
 
        f=  f * MAX_RATIO / 100;
 
 
 
        traffic[src]->ratio=(unsigned int)f;
 
        traffic[src]->init_weight=task.initial_weight;
 
 
 
 
 
 
 
 
 
        if (task.burst_sent >= task.burst_size){
 
                task.burst_sent=0;
 
                task_graph_abstract[src].active_index=task_graph_abstract[src].active_index+1;
 
                if(task_graph_abstract[src].active_index>=task_graph_abstract[src].total_index) task_graph_abstract[src].active_index=0;
 
 
}
}
 
 
 
 
 
        update_by_index(task_graph_data[src],index,task);
 
 
 
        if (task.byte_sent  >= task.bytes){ // This task is done remove it from the queue
 
                                remove_by_index(&task_graph_data[src],index);
 
                                task_graph_abstract[src].total_index = task_graph_abstract[src].total_index-1;
 
                                if(task_graph_abstract[src].total_index==0){ //all tasks are done turned off the core
 
                                        task_graph_abstract[src].active_index=-1;
 
                                        traffic[src]->ratio=0;
 
                                        traffic[src]->stop=1;
 
                                        if(total_active_routers!=0) total_active_routers--;
 
                                        return src;
 
                                }
 
                                if(task_graph_abstract[src].active_index>=task_graph_abstract[src].total_index) task_graph_abstract[src].active_index=0;
 
        }
 
 
 
        return task.dst;
 
 
 
 
 
 
 
 
 
}
 
 
 
 
 
 
 
 
 
void pck_dst_gen (
 
    unsigned int current_x,
 
        unsigned int current_y,
 
        unsigned int core_num,
 
        unsigned int *dest_x,
 
        unsigned int *dest_y
 
){
 
 
 
        if(TRAFFIC_TYPE==CUSTOM){
 
                int dest =      pck_dst_gen_task_graph ( core_num);
 
                 (*dest_y) = (dest / NX );
 
                 (*dest_x) = (dest % NX );
 
                // printf ("%d->%d (%d,%d)\n",core_num,dest,(*dest_y),(*dest_x) );      
 
                return;
 
 
 
        }
 
 
 
 
 
        if((strcmp (TOPOLOGY,"MESH")==0)||(strcmp (TOPOLOGY,"TORUS")==0)){
 
                pck_dst_gen_2D (
 
                    current_x,
 
                        current_y,
 
                        core_num,
 
                        dest_x,
 
                        dest_y
 
                );
 
                return;
 
        }
 
 
 
        dest_y=0;
 
        pck_dst_gen_1D (
 
                        current_x,
 
                        core_num,
 
                        dest_x);
 
 
 
}
 
 
 
 
 
 
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.