OpenCores
URL https://opencores.org/ocsvn/ao68000/ao68000/trunk

Subversion Repositories ao68000

[/] [ao68000/] [trunk/] [Makefile] - Diff between revs 12 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 13
Line 2... Line 2...
        @echo -e "Select operation to perform. Type 'make' followed by the name of the operation."
        @echo -e "Select operation to perform. Type 'make' followed by the name of the operation."
        @echo
        @echo
        @echo -e "Available operations:"
        @echo -e "Available operations:"
        @echo -e "doxygen             - run the doxygen tool on the ao68000 project."
        @echo -e "doxygen             - run the doxygen tool on the ao68000 project."
        @echo -e "                      Doxverilog version required."
        @echo -e "                      Doxverilog version required."
 
        @echo -e "microcode           - generate ao68000 microcode from Java sources."
        @echo -e "spec_extract        - generate the specification.odt file from the Doxygen HTML docs."
        @echo -e "spec_extract        - generate the specification.odt file from the Doxygen HTML docs."
        @echo -e "soc_for_linux       - synthesise soc_for_linux SoC with ao68000 processor for"
        @echo -e "soc_for_linux       - synthesise soc_for_linux SoC with ao68000 processor for"
        @echo -e "                      the Terasic DE2-70 board."
        @echo -e "                      the Terasic DE2-70 board."
        @echo -e "test_bcd            - test BCD opcode algorithms."
        @echo -e "test_bcd            - test BCD opcode algorithms."
        @echo -e "compare_with_winuae - compare ao68000 processor with WinUAE MC68000 emulator (www.winuae.net)."
        @echo -e "compare_with_winuae - compare ao68000 processor with WinUAE MC68000 emulator (www.winuae.net)."
Line 82... Line 83...
        cd ./tmp/compare_with_winuae/verilog && ln -s -f $(QUARTUS_ROOTDIR)/eda/sim_lib/220model.v lpm_mult.v
        cd ./tmp/compare_with_winuae/verilog && ln -s -f $(QUARTUS_ROOTDIR)/eda/sim_lib/220model.v lpm_mult.v
        cd ./tmp/compare_with_winuae/verilog && ln -s -f $(QUARTUS_ROOTDIR)/eda/sim_lib/220model.v lpm_divide.v
        cd ./tmp/compare_with_winuae/verilog && ln -s -f $(QUARTUS_ROOTDIR)/eda/sim_lib/220model.v lpm_divide.v
        cd ./tmp/compare_with_winuae/verilog && iverilog -y. -y./../../../rtl -y./../../../tests/compare_with_winuae/verilog -o tb_ao68000 ./../../../tests/compare_with_winuae/verilog/tb_ao68000.v
        cd ./tmp/compare_with_winuae/verilog && iverilog -y. -y./../../../rtl -y./../../../tests/compare_with_winuae/verilog -o tb_ao68000 ./../../../tests/compare_with_winuae/verilog/tb_ao68000.v
        cp ./rtl/ao68000_microcode.mif ./tmp/compare_with_winuae/verilog
        cp ./rtl/ao68000_microcode.mif ./tmp/compare_with_winuae/verilog
 
 
START_IR_DEC    := 0
START_IR_DEC    := 32960
END_IR_DEC      := 65536
END_IR_DEC      := 36864
TERM_PROGRAM    := xterm
TERM_PROGRAM    := xterm
COUNT           := 2
COUNT           := 4
COUNT_LIST      := $(wordlist 1,$(COUNT),0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19)
COUNT_LIST      := $(wordlist 1,$(COUNT),0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19)
STEP            := `expr \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT)`
STEP            := `expr \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT)`
 
 
compare_with_winuae: ao68000_tool winuae tb_ao68000
compare_with_winuae: ao68000_tool winuae tb_ao68000
        $(foreach i,$(COUNT_LIST), mkdir -p ./tmp/compare_with_winuae/run_$(i); )
        $(foreach i,$(COUNT_LIST), mkdir -p ./tmp/compare_with_winuae/run_$(i); )
Line 99... Line 100...
        )
        )
        $(foreach i,$(COUNT_LIST), chmod +x ./tmp/compare_with_winuae/run_$(i)/run.sh; )
        $(foreach i,$(COUNT_LIST), chmod +x ./tmp/compare_with_winuae/run_$(i)/run.sh; )
        $(foreach i,$(COUNT_LIST), $(TERM_PROGRAM) -e java -cp ./tmp ao68000_tool.Main test \
        $(foreach i,$(COUNT_LIST), $(TERM_PROGRAM) -e java -cp ./tmp ao68000_tool.Main test \
                ./tmp/compare_with_winuae/winuae/ao \
                ./tmp/compare_with_winuae/winuae/ao \
                ./tmp/compare_with_winuae/run_$(i)/run.sh \
                ./tmp/compare_with_winuae/run_$(i)/run.sh \
                `expr $(i) \* \( \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT) \)` \
                `expr $(START_IR_DEC) + $(i) \* \( \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT) \)` \
                `expr \( $(i) + 1 \) \* \( \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT) \)` \
                `expr $(START_IR_DEC) + \( $(i) + 1 \) \* \( \( $(END_IR_DEC) - $(START_IR_DEC) \) / $(COUNT) \)` \
                & \
                & \
        )
        )
 
 
clean:
clean:
        rm -R -f ./tmp/*
        rm -R -f ./tmp/*

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.