Line 36... |
Line 36... |
*/
|
*/
|
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
|
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
|
--library tauhop; use tauhop.transactor.all, tauhop.axiTransactor.all; --TODO just use axiTransactor here as transactor should already be wrapped up.
|
--library tauhop; use tauhop.transactor.all, tauhop.axiTransactor.all; --TODO just use axiTransactor here as transactor should already be wrapped up.
|
|
|
/* TODO remove once generic packages are supported. */
|
/* TODO remove once generic packages are supported. */
|
library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
|
library tauhop; use tauhop.fsm.all, tauhop.tlm.all, tauhop.axiTLM.all;
|
|
|
/* synthesis translate_off */
|
/* synthesis translate_off */
|
library osvvm; use osvvm.RandomPkg.all; use osvvm.CoveragePkg.all;
|
library osvvm; use osvvm.RandomPkg.all; use osvvm.CoveragePkg.all;
|
/* synthesis translate_on */
|
/* synthesis translate_on */
|
|
|
Line 84... |
Line 84... |
signal anlysr_trigger:std_ulogic;
|
signal anlysr_trigger:std_ulogic;
|
|
|
signal axiMaster_in:t_axi4StreamTransactor_s2m;
|
signal axiMaster_in:t_axi4StreamTransactor_s2m;
|
signal irq_write:std_ulogic; -- clock gating.
|
signal irq_write:std_ulogic; -- clock gating.
|
|
|
signal selTxn:unsigned(3 downto 0):=4x"0"; -- select PRBS by default.
|
signal selTxn:unsigned(3 downto 0):=4x"5"; -- select PRBS by default.
|
|
--signal selTxn:unsigned(3 downto 0):=4x"0";
|
|
|
begin
|
begin
|
/* Bus functional models. */
|
/* Bus functional models. */
|
axiMaster: entity tauhop.axiBfmMaster(rtl)
|
axiMaster: entity tauhop.axiBfmMaster(rtl)
|
port map(
|
port map(
|
Line 115... |
Line 116... |
end process por;
|
end process por;
|
|
|
/* synthesis translate_off */
|
/* synthesis translate_off */
|
clk<=not clk after clk'period/2;
|
clk<=not clk after clk'period/2;
|
process is begin
|
process is begin
|
nReset<='0'; wait for 1 ps;
|
nReset<='1'; wait for 1 ps;
|
nReset<='1'; wait for 500 ps;
|
nReset<='0'; wait for 500 ps;
|
nReset<='0';
|
nReset<='1';
|
wait;
|
wait;
|
end process;
|
end process;
|
/* synthesis translate_on */
|
/* synthesis translate_on */
|
|
|
/* Simulation Tester. */
|
/* Simulation Tester. */
|