OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 15 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 16
Line 221... Line 221...
                if falling_edge(irq_write) then
                if falling_edge(irq_write) then
                        i_txFSM<=txFSM;
                        i_txFSM<=txFSM;
                end if;
                end if;
        end process sequencer_regs;
        end process sequencer_regs;
 
 
 
        /* Transaction counter. */
 
    process(nReset,symbolsPerTransfer,irq_write) is begin
 
        if not nReset then outstandingTransactions<=symbolsPerTransfer;
 
        elsif falling_edge(irq_write) then
 
                        /* Use synchronous reset for outstandingTransactions to meet timing because it is a huge register set. */
 
                        if not nReset then outstandingTransactions<=symbolsPerTransfer;
 
            else
 
                                if outstandingTransactions<1 then
 
                                        outstandingTransactions<=symbolsPerTransfer;
 
                                        report "No more pending transactions." severity note;
 
                                elsif axiMaster_in.tReady then outstandingTransactions<=outstandingTransactions-1;
 
                                end if;
 
                        end if;
 
        end if;
 
    end process;
 
 
        /* Reset symbolsPerTransfer to new value (prepare for new transfer) after current transfer has been completed. */
        /* Reset symbolsPerTransfer to new value (prepare for new transfer) after current transfer has been completed. */
        process(nReset,irq_write) is
        process(nReset,irq_write) is
                /* synthesis translate_off */
                /* synthesis translate_off */
                variable rv0:RandomPType;
                variable rv0:RandomPType;
                /* synthesis translate_on */
                /* synthesis translate_on */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.