OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [tester/] [tester.vhdl] - Diff between revs 42 and 44

Show entire file | Details | Blame | View Log

Rev 42 Rev 44
Line 339... Line 339...
                                when others=>null;
                                when others=>null;
                        end case;
                        end case;
                end if;
                end if;
        end process sequencer_op;
        end process sequencer_op;
 
 
 
        sequencer_regs: process(irq_write) is begin
 
        if falling_edge(irq_write) then
 
            i_txFSM<=txFSM;
 
        end if;
 
    end process sequencer_regs;
 
 
 
 
        /* simulation only. */
        /* simulation only. */
        /* synthesis translate_off */
        /* synthesis translate_off */
        coverageMonitor: process is
        coverageMonitor: process is
                procedure initialise is begin
                procedure initialise is begin
Line 381... Line 387...
                end if;
                end if;
        end process;
        end process;
        /* synthesis translate_on */
        /* synthesis translate_on */
 
 
 
 
        sequencer_regs: process(irq_write) is begin
 
        if falling_edge(irq_write) then
 
            i_txFSM<=txFSM;
 
        end if;
 
    end process sequencer_regs;
 
 
 
        lastTransaction<=true when isCovered else false;
        lastTransaction<=true when isCovered else false;
 
 
        checker: process(clk) is begin
        checker: process(clk) is begin
                if rising_edge(clk) then
                if rising_edge(clk) then
                        if axiMaster_in.tReady then
                        if axiMaster_in.tReady then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.