OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [TestBench/] [sha_256_chunk_TB.vhd] - Diff between revs 3 and 6

Show entire file | Details | Blame | View Log

Rev 3 Rev 6
Line 24... Line 24...
--  Public License along with this source; if not, download it   -- 
--  Public License along with this source; if not, download it   -- 
--  from http://www.opencores.org/lgpl.shtml                     -- 
--  from http://www.opencores.org/lgpl.shtml                     -- 
--                                                               -- 
--                                                               -- 
-------------------------------------------------------------------
-------------------------------------------------------------------
 
 
library hotan;
 
use hotan.sha_256_pkg.all;
 
library ieee;
library ieee;
use ieee.NUMERIC_STD.all;
use ieee.NUMERIC_STD.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_arith.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.NUMERIC_STD.ALL;
 
 
 
use work.sha_256_pkg.all;
 
 
        -- Add your library and packages declaration here ...
        -- Add your library and packages declaration here ...
 
 
entity sha_256_chunk_tb is
entity sha_256_chunk_tb is
end sha_256_chunk_tb;
end sha_256_chunk_tb;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.