OpenCores
URL https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk

Subversion Repositories btc_dsha256

[/] [btc_dsha256/] [trunk/] [rtl/] [vhdl/] [sha256core/] [btc_dsha.vhd] - Diff between revs 3 and 5

Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 45... Line 45...
 
 
                iValid_p : in std_logic := '0';
                iValid_p : in std_logic := '0';
                ivAddr : in std_logic_vector(3 downto 0) := (others=>'0');
                ivAddr : in std_logic_vector(3 downto 0) := (others=>'0');
                ivData : in std_logic_vector(31 downto 0) := (others=>'0');
                ivData : in std_logic_vector(31 downto 0) := (others=>'0');
 
 
                oReachEnd : out std_logic := '0';
                oReachEnd_p : out std_logic := '0';
                oFoundNonce : out std_logic := '0';
                oFoundNonce_p : out std_logic := '0';
                ovNonce : out std_logic_vector(31 downto 0) := (others=>'0');
                ovNonce : out std_logic_vector(31 downto 0) := (others=>'0');
                ovDigest : out tDwordArray(0 to 7) := (others=>(others=>'0'))
                ovDigest : out tDwordArray(0 to 7) := (others=>(others=>'0'))
        );
        );
end btc_dsha;
end btc_dsha;
 
 
Line 132... Line 132...
                        oSyncReset              : out std_logic                                         -- Synchronous reset output
                        oSyncReset              : out std_logic                                         -- Synchronous reset output
                );
                );
        end component;
        end component;
 
 
        constant cCMD_ADDR : std_logic_vector(3 downto 0) := X"D";
        constant cCMD_ADDR : std_logic_vector(3 downto 0) := X"D";
 
        constant cCMD_NOP : std_logic_vector(15 downto 0) := X"0000";
        constant cCMD_START : std_logic_vector(15 downto 0) := X"0001";
        constant cCMD_START : std_logic_vector(15 downto 0) := X"0001";
 
 
        constant cPROCESS_DEALY : std_logic_vector(15 downto 0) := conv_std_logic_vector(64 * gBASE_DELAY * 2 + 1, 16);
        constant cPROCESS_DEALY : std_logic_vector(15 downto 0) := conv_std_logic_vector(64 * gBASE_DELAY * 2 + 1, 16);
        constant cCMP_DELAY : std_logic_vector(15 downto 0) := conv_std_logic_vector(64 * gBASE_DELAY * 2 + 1 + 2, 16);
        constant cCMP_DELAY : std_logic_vector(15 downto 0) := conv_std_logic_vector(64 * gBASE_DELAY * 2 + 1 + 2, 16);
 
 
Line 835... Line 836...
 
 
        process(iClkProcess)
        process(iClkProcess)
        begin
        begin
                if rising_edge(iClkProcess) then
                if rising_edge(iClkProcess) then
                        if sCmdStart_syncProcess_p = '1' then
                        if sCmdStart_syncProcess_p = '1' then
                                oReachEnd <= '0';
                                oReachEnd_p <= '0';
                        else
                        else
                                if sProcess = stSearch and sReachEndToIdle = '1' and sFoundNonceToIdle = '0' then
                                if sProcess = stSearch and sReachEndToIdle = '1' and sFoundNonceToIdle = '0' then
                                        oReachEnd <= '1';
                                        oReachEnd_p <= '1';
                                else
                                else
                                        oReachEnd <= '0';
                                        oReachEnd_p <= '0';
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 
Line 865... Line 866...
 
 
        process(iClkProcess)
        process(iClkProcess)
        begin
        begin
                if rising_edge(iClkProcess) then
                if rising_edge(iClkProcess) then
                        if sCmdStart_syncProcess_p = '1' then
                        if sCmdStart_syncProcess_p = '1' then
                                oFoundNonce <= '0';
                                oFoundNonce_p <= '0';
                        else
                        else
                                if sProcess = stSearch and sFoundNonceToIdle = '1' then
                                if sProcess = stSearch and sFoundNonceToIdle = '1' then
                                        oFoundNonce <= '1';
                                        oFoundNonce_p <= '1';
                                else
                                else
                                        oFoundNonce <= '0';
                                        oFoundNonce_p <= '0';
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.