OpenCores
URL https://opencores.org/ocsvn/bw_tiff_compression/bw_tiff_compression/trunk

Subversion Repositories bw_tiff_compression

[/] [bw_tiff_compression/] [trunk/] [var_width_RAM.vhd] - Diff between revs 11 and 12

Show entire file | Details | Blame | View Log

Rev 11 Rev 12
Line 140... Line 140...
                        -- "0111" => 3
                        -- "0111" => 3
                        -- "1111" => 4 = 0
                        -- "1111" => 4 = 0
 
 
                        if reset_i = '1' then
                        if reset_i = '1' then
                                mux_sel <= (others => '0');
                                mux_sel <= (others => '0');
--                      if    wr4_i = '0' and wr3_i = '0' and wr2_i = '0' and wr1_i = '0' then
 
--                              mux_sel <= mux_sel - to_unsigned(0,2);
 
                        elsif    wr4_i = '0' and wr3_i = '0' and wr2_i = '0' and wr1_i = '1' then
                        elsif    wr4_i = '0' and wr3_i = '0' and wr2_i = '0' and wr1_i = '1' then
                                mux_sel <= mux_sel - to_unsigned(1,2);
                                mux_sel <= mux_sel - to_unsigned(1,2);
                        elsif wr4_i = '0' and wr3_i = '0' and wr2_i = '1' and wr1_i = '1' then
                        elsif wr4_i = '0' and wr3_i = '0' and wr2_i = '1' and wr1_i = '1' then
                                mux_sel <= mux_sel - to_unsigned(2,2);
                                mux_sel <= mux_sel - to_unsigned(2,2);
                        elsif wr4_i = '0' and wr3_i = '1' and wr2_i = '1' and wr1_i = '1' then
                        elsif wr4_i = '0' and wr3_i = '1' and wr2_i = '1' and wr1_i = '1' then
                                mux_sel <= mux_sel - to_unsigned(3,2);
                                mux_sel <= mux_sel - to_unsigned(3,2);
--                      elsif wr4_i = '1' and wr3_i = '1' and wr2_i = '1' and wr1_i = '1' then
 
--                              mux_sel <= mux_sel - to_unsigned(4,2);
 
                        else
                        else
                                mux_sel <= mux_sel - to_unsigned(0,2);
                                mux_sel <= mux_sel - to_unsigned(0,2);
                        end if;
                        end if;
                end if;
                end if;
        end process mux_sel_cnt_process;
        end process mux_sel_cnt_process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.