OpenCores
URL https://opencores.org/ocsvn/cascaded_fir_filter/cascaded_fir_filter/trunk

Subversion Repositories cascaded_fir_filter

[/] [cascaded_fir_filter/] [trunk/] [FIR_cascaded_tb.v] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
`timescale 1 ns/ 1 ns
`timescale 1 ns/ 1 ns
module FIR_cascaded_tb
module FIR_cascaded_tb
#(
#(
        // uncomment selected test
        // uncomment selected test
        //`define test_saw              // test with I -4, -3, ..., 4, 5 ; and Q 1, 2, ..., 9, 10, 10 complex samples of ping total
        `define test_saw                // test with I -4, -3, ..., 4, 5 ; and Q 1, 2, ..., 9, 10, 10 complex samples of ping total
        //`define test_tone             // tone pulse
        //`define test_tone             // tone pulse
        //`define test_rect             // rectangle pulse
        //`define test_rect             // rectangle pulse
        //`define test_delta    // test with delta-function
        //`define test_delta    // test with delta-function
        //`define test_chirp    // test with chirp. It is long test, pulse response length is 2048
        //`define test_chirp    // test with chirp. It is long test, pulse response length is 2048
        `define test_chirp_short
        //`define test_chirp_short
 
 
        `ifdef test_saw
        `ifdef test_saw
        //Test short signal
        //Test short signal
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       PING_ADDR_WIDTH = 4,                            // address width of pulse response characteristic samples
        parameter       PING_ADDR_WIDTH = 4,                            // address width of pulse response characteristic samples
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
Line 22... Line 23...
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,           // number of frame counter
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,           // number of frame counter
        parameter       PARAM_INP_PING_LENGTH = 10,                     // ping length
        parameter       PARAM_INP_PING_LENGTH = 10,                     // ping length
        parameter       FRAME_PERIOD = 100,                                     // frame period
        parameter       FRAME_PERIOD = 100,                                     // frame period
        parameter       OUT_SAMP_A_SQ_WIDTH = 16,                       // |output|^2 word width
        parameter       OUT_SAMP_A_SQ_WIDTH = 16,                       // |output|^2 word width
        parameter       OUT_SAMP_A_SQ_OFFS = 4,                         // offset of |output|^2 word, OUT_SAMP_A_SQ_WIDTH bits from OUT_SAMP_A_SQ_OFFS writes to output
        parameter       OUT_SAMP_A_SQ_OFFS = 4,                         // offset of |output|^2 word, OUT_SAMP_A_SQ_WIDTH bits from OUT_SAMP_A_SQ_OFFS writes to output
        parameter       INP_FILE = "inp_signal_saw_s_IQ.txt",   // input signal samples file
        parameter       INP_FILE = "IQ_saw_signal.txt",         // input signal samples file
        parameter       PING_FILE = "ping_signal_saw_s_IQ.txt", // ping samples file
        parameter       PING_FILE = "IQ_saw_ping.txt",          // ping samples file
        parameter       SIM_DURATION = 100000                                   // simulation duration after reset duration
        parameter       SIM_DURATION = 100000                                   // simulation duration after reset duration
        // input file example with -4,-3... in I and 1, 2, 3 in Q:
        // input file example with -4,-3... in I and 1, 2, 3 in Q:
        // fffc
        // fffc
        // 0001
        // 0001
        // fffd
        // fffd
Line 35... Line 36...
        // fffe
        // fffe
        // 0003
        // 0003
        `endif
        `endif
 
 
        `ifdef test_tone
        `ifdef test_tone
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       PING_ADDR_WIDTH = 7,                            // address width of pulse response characteristic samples
        parameter       PING_ADDR_WIDTH = 7,                            // address width of pulse response characteristic samples
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
Line 55... Line 57...
        parameter       SIM_DURATION = 5000000                                  // simulation duration after reset duration
        parameter       SIM_DURATION = 5000000                                  // simulation duration after reset duration
        `endif
        `endif
 
 
        `ifdef test_rect
        `ifdef test_rect
        //Test short signal
        //Test short signal
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       INP_SAMP_WIDTH = 14,                            // imput samples width
        parameter       PING_ADDR_WIDTH = 7,                            // address width of pulse response characteristic samples
        parameter       PING_ADDR_WIDTH = 7,                            // address width of pulse response characteristic samples
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,          //address width of block
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       FRAME_ADDR_WIDTH = 14,                          // address width of counter of samples in frame
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
        parameter       OUT_SAMP_WIDTH = 18,                            // output samples width
Line 75... Line 78...
        parameter       SIM_DURATION = 4000000                                  // simulation duration after reset duration
        parameter       SIM_DURATION = 4000000                                  // simulation duration after reset duration
        `endif
        `endif
 
 
        `ifdef test_delta
        `ifdef test_delta
        //Test delta function
        //Test delta function
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       PING_ADDR_WIDTH = 4,
        parameter       PING_ADDR_WIDTH = 4,
        parameter       FRAME_ADDR_WIDTH = 14,
        parameter       FRAME_ADDR_WIDTH = 14,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,
        parameter       OUT_SAMP_WIDTH = 18,
        parameter       OUT_SAMP_WIDTH = 18,
Line 88... Line 92...
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       PARAM_INP_PING_LENGTH = 1,
        parameter       PARAM_INP_PING_LENGTH = 1,
        parameter       FRAME_PERIOD = 50,
        parameter       FRAME_PERIOD = 50,
        parameter       OUT_SAMP_A_SQ_WIDTH = 16,
        parameter       OUT_SAMP_A_SQ_WIDTH = 16,
        parameter       OUT_SAMP_A_SQ_OFFS = 4,
        parameter       OUT_SAMP_A_SQ_OFFS = 4,
        parameter       INP_FILE = "inp_signal_delta_IQ.txt",
        parameter       INP_FILE = "IQ_delta_signal.txt",
        parameter       PING_FILE = "ping_delta_IQ.txt",
        parameter       PING_FILE = "IQ_delta_ping.txt",
        parameter       SIM_DURATION = 1000000                                  // simulation duration after reset duration
        parameter       SIM_DURATION = 1000000                                  // simulation duration after reset duration
        `endif
        `endif
        /*
 
        `ifdef test_chirp_short
 
        parameter       INP_SAMP_WIDTH = 14,    // разрядность входных отсчётов
 
        parameter       PING_ADDR_WIDTH = 6,    // разрядность длительности зондирующего импульса, длительность в отсчётах
 
        parameter       FRAME_ADDR_WIDTH = 18,  // разрядность длительности дальности, длительность в отсчётах
 
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 3,  //разрядность шины адреса ячейки вычисления свёртки
 
        parameter       OUT_SAMP_WIDTH = 28,    // разрядность выходных отсчётов
 
        parameter       CLK_TO_SAMP_ADDR_WIDTH  = 12,   // разрядность счётчика inp_samp в тактах clk
 
        parameter       CLK_TO_SAMP_RATIO = 100,                // коэффициент деления clk -> inp_clk
 
        parameter       SIM_FRAME_ADDR_COUNTER_WIDTH = 18,              // разрядность счётчика отсчётов для симуляции
 
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,           // разрядность счётчика отсчётов для симуляции
 
        parameter       PARAM_INP_PING_LENGTH = 10,             // длина зондирующего импульса, в отсчётах
 
        parameter       FRAME_PERIOD = 8000,                                    // период фреймов, в отсчётах
 
        parameter       OUT_SAMP_A_SQ_WIDTH = 16,                               // разрядность суммы квадратов выходных отсчётов
 
        parameter       OUT_SAMP_A_SQ_OFFS = 4,                         // смещение разрядов суммы квадратов выходных отсчётов
 
        //parameter     INP_FILE = "inp_signal_saw_s_IQ.txt"
 
        //parameter     PING_FILE = "ping_signal_saw_s_IQ.txt"
 
        parameter       INP_FILE = "inp_signal_chirp_2.txt",
 
        parameter       PING_FILE = "ping_chirp.txt"
 
        `endif
 
        */
 
        `ifdef test_chirp_short
        `ifdef test_chirp_short
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       PING_ADDR_WIDTH = 9,
        parameter       PING_ADDR_WIDTH = 6,
        parameter       FRAME_ADDR_WIDTH = 18,
        parameter       FRAME_ADDR_WIDTH = 18,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 6,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 5,
        parameter       OUT_SAMP_WIDTH = 18,
        parameter       OUT_SAMP_WIDTH = 18,
        parameter       CLK_TO_SAMP_ADDR_WIDTH  = 11,
        parameter       CLK_TO_SAMP_ADDR_WIDTH  = 11,
        parameter       CLK_TO_SAMP_RATIO = 500,
        parameter       CLK_TO_SAMP_RATIO = 500,
        parameter       SIM_FRAME_ADDR_COUNTER_WIDTH = 18,
        parameter       SIM_FRAME_ADDR_COUNTER_WIDTH = 18,
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       PARAM_INP_PING_LENGTH = 50,
        parameter       PARAM_INP_PING_LENGTH = 50,
        parameter       FRAME_PERIOD = 8000,
        parameter       FRAME_PERIOD = 1000,
        parameter       OUT_SAMP_A_SQ_WIDTH = 20,
        parameter       OUT_SAMP_A_SQ_WIDTH = 20,
        parameter       OUT_SAMP_A_SQ_OFFS = 18,
        parameter       OUT_SAMP_A_SQ_OFFS = 18,
        parameter       INP_FILE = "inp_signal_chirp_2.txt",
        parameter       INP_FILE = "IQ_chirp_signal.txt",
        parameter       PING_FILE = "ping_chirp.txt",
        parameter       PING_FILE = "IQ_chirp_ping.txt",
        parameter       SIM_DURATION = 8000000                                  // simulation duration after reset duration
        parameter       SIM_DURATION = 16000000                                 // simulation duration after reset duration
        `endif
        `endif
        `ifdef test_chirp
        `ifdef test_chirp
 
        parameter       PING_FROM_INPUT_SIGNAL = 1,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       INP_SAMP_WIDTH = 14,
        parameter       PING_ADDR_WIDTH = 11,
        parameter       PING_ADDR_WIDTH = 11,
        parameter       FRAME_ADDR_WIDTH = 18,
        parameter       FRAME_ADDR_WIDTH = 18,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 10,
        parameter       CONV_MEM_BLOCK_ADDR_WIDTH = 10,
        parameter       OUT_SAMP_WIDTH = 18,
        parameter       OUT_SAMP_WIDTH = 18,
Line 145... Line 130...
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       SIM_FRAME_COUNTER_WIDTH = 18,
        parameter       PARAM_INP_PING_LENGTH = 50,
        parameter       PARAM_INP_PING_LENGTH = 50,
        parameter       FRAME_PERIOD = 8000,
        parameter       FRAME_PERIOD = 8000,
        parameter       OUT_SAMP_A_SQ_WIDTH = 20,
        parameter       OUT_SAMP_A_SQ_WIDTH = 20,
        parameter       OUT_SAMP_A_SQ_OFFS = 16,
        parameter       OUT_SAMP_A_SQ_OFFS = 16,
        parameter       INP_FILE = "inp_signal_chirp_2.txt",
        parameter       INP_FILE = "IQ_chirp_signal.txt",
        parameter       PING_FILE = "ping_chirp.txt",
        parameter       PING_FILE = "IQ_chirp_ping.txt",
        parameter       SIM_DURATION = 24000000                                 // simulation duration after reset duration
        parameter       SIM_DURATION = 24000000                                 // simulation duration after reset duration
        `endif
        `endif
);
);
        reg     clk;                                                                            // тактовая частота
        reg     clk;                                                                            // тактовая частота
        reg     reset;                                                                          // сброс
        reg     reset;                                                                          // сброс
Line 169... Line 154...
        //reg   signed  [INP_SAMP_WIDTH - 1:0]  IOB_ping_RAM_D_Q;               // RAM зондирующего импульса, data Im
        //reg   signed  [INP_SAMP_WIDTH - 1:0]  IOB_ping_RAM_D_Q;               // RAM зондирующего импульса, data Im
        reg signed      [PING_ADDR_WIDTH - 1:0]  IOB_ping_RAM_A;         // RAM зондирующего импульса, address
        reg signed      [PING_ADDR_WIDTH - 1:0]  IOB_ping_RAM_A;         // RAM зондирующего импульса, address
        reg                                                                     IOB_ping_RAM_IQ;        // RAM зондирующего импульса, write enable
        reg                                                                     IOB_ping_RAM_IQ;        // RAM зондирующего импульса, write enable
        reg                                                                     IOB_ping_RAM_WR;        // RAM зондирующего импульса, write enable
        reg                                                                     IOB_ping_RAM_WR;        // RAM зондирующего импульса, write enable
        reg                                                                     IOB_ping_RAM_RD;        // RAM зондирующего импульса, write enable
        reg                                                                     IOB_ping_RAM_RD;        // RAM зондирующего импульса, write enable
 
        reg                                                                     IOB_ping_RAM_load_ready;
        wire            [OUT_SAMP_WIDTH - 1:0]   out_samp_I;                     // выходные отсчёты, результат обработки
        wire            [OUT_SAMP_WIDTH - 1:0]   out_samp_I;                     // выходные отсчёты, результат обработки
        wire            [OUT_SAMP_WIDTH - 1:0]   out_samp_Q;                     // выходные отсчёты, результат обработки
        wire            [OUT_SAMP_WIDTH - 1:0]   out_samp_Q;                     // выходные отсчёты, результат обработки
        wire signed     [OUT_SAMP_A_SQ_WIDTH - 1:0]      out_samp_A_sq;  // сумма квадратов выходных отсчётов, для отладки
        wire signed     [OUT_SAMP_A_SQ_WIDTH - 1:0]      out_samp_A_sq;  // сумма квадратов выходных отсчётов, для отладки
        wire                                                            out_samp_strobe;        // стробы выходных отсчётов
        wire                                                            out_samp_strobe;        // стробы выходных отсчётов
        wire                                                            out_frame_strobe;       // стробы периода зондирования
        wire                                                            out_frame_strobe;       // стробы периода зондирования
Line 217... Line 203...
                .out_frame_strobe       (out_frame_strobe)
                .out_frame_strobe       (out_frame_strobe)
        );
        );
 
 
        initial begin
        initial begin
                $dumpfile("test.vcd");
                $dumpfile("test.vcd");
                $dumpvars(1, FIR_cascaded_DUT,
                $dumpvars(1, FIR_cascaded_tb, FIR_cascaded_DUT,
                FIR_cascaded_DUT.out_samp_Q_reg,
                FIR_cascaded_DUT.out_samp_Q_reg,
                FIR_cascaded_DUT.multiplier_ping_Q[0], FIR_cascaded_DUT.out_samp_acc_Q[0], FIR_cascaded_DUT.multiplier_echo_Q[0]
                FIR_cascaded_DUT.multiplier_ping_Q[0], FIR_cascaded_DUT.out_samp_acc_Q[0], FIR_cascaded_DUT.multiplier_echo_Q[0]
                //,FIR_cascaded_DUT.multiplier_ping_Q[1], FIR_cascaded_DUT.out_samp_acc_Q[1], FIR_cascaded_DUT.multiplier_echo_Q[1]
                //,FIR_cascaded_DUT.multiplier_ping_Q[1], FIR_cascaded_DUT.out_samp_acc_Q[1], FIR_cascaded_DUT.multiplier_echo_Q[1]
                //,FIR_cascaded_DUT.multiplier_ping_Q[2], FIR_cascaded_DUT.out_samp_acc_Q[2], FIR_cascaded_DUT.multiplier_echo_Q[2]
                //,FIR_cascaded_DUT.multiplier_ping_Q[2], FIR_cascaded_DUT.out_samp_acc_Q[2], FIR_cascaded_DUT.multiplier_echo_Q[2]
                //,FIR_cascaded_DUT.multiplier_ping_Q[3], FIR_cascaded_DUT.out_samp_acc_Q[3], FIR_cascaded_DUT.multiplier_echo_Q[3]
                //,FIR_cascaded_DUT.multiplier_ping_Q[3], FIR_cascaded_DUT.out_samp_acc_Q[3], FIR_cascaded_DUT.multiplier_echo_Q[3]
 
                ,FIR_cascaded_DUT.ping_RAM_W_I[0]
 
                //,FIR_cascaded_DUT.ping_RAM_A
 
                ,FIR_cascaded_DUT.ping_RAM_D_I[0]
                );
                );
                clk = 0;
                clk = 0;
                reset = 0;
                reset = 0;
                inp_clk = 0;
                inp_clk = 0;
                inp_ping_start = 0;
                inp_ping_start = 0;
                clk_to_samp_counter = 0;
                clk_to_samp_counter = 0;
                frame_addr_counter = 0;
                frame_addr_counter = 0;
                frame_counter = 0;
                frame_counter = 0;
                inp_ping_length = PARAM_INP_PING_LENGTH;
                inp_ping_length = PARAM_INP_PING_LENGTH;
                IOB_ping_from_Rx = 1;
                IOB_ping_from_Rx = PING_FROM_INPUT_SIGNAL;
 
                IOB_ping_RAM_load_ready = 0;
                IOB_ping_RAM_CS = 1;
                IOB_ping_RAM_CS = 1;
                IOB_ping_RAM_D_reg = {INP_SAMP_WIDTH{1'bZ}};
                IOB_ping_RAM_D_reg = {INP_SAMP_WIDTH{1'bZ}};
                IOB_ping_RAM_IQ = 0;
                IOB_ping_RAM_IQ = 0;
                IOB_ping_RAM_A = 0;
                IOB_ping_RAM_A = 0;
                IOB_ping_RAM_WR = 1;
                IOB_ping_RAM_WR = 1;
Line 256... Line 246...
 
 
        always
        always
                #10 clk = !clk;
                #10 clk = !clk;
        always@(negedge clk)
        always@(negedge clk)
        begin
        begin
 
                if (IOB_ping_RAM_load_ready == 1) begin
                if (clk_to_samp_counter == CLK_TO_SAMP_RATIO - 1) begin // generate sample
                if (clk_to_samp_counter == CLK_TO_SAMP_RATIO - 1) begin // generate sample
                        clk_to_samp_counter <= 0;
                        clk_to_samp_counter <= 0;
                        inp_clk <= 1;
                        inp_clk <= 1;
                        inp_samp_I <= inp_signal_file[(frame_addr_counter)*2];
                        inp_samp_I <= inp_signal_file[(frame_addr_counter)*2];
                        inp_samp_Q <= inp_signal_file[(frame_addr_counter)*2 + 1];
                        inp_samp_Q <= inp_signal_file[(frame_addr_counter)*2 + 1];
Line 277... Line 268...
                        clk_to_samp_counter <= clk_to_samp_counter + 1;
                        clk_to_samp_counter <= clk_to_samp_counter + 1;
                end
                end
                if (clk_to_samp_counter == 0) begin
                if (clk_to_samp_counter == 0) begin
                end else if (clk_to_samp_counter == CLK_TO_SAMP_RATIO / 2) begin
                end else if (clk_to_samp_counter == CLK_TO_SAMP_RATIO / 2) begin
                end
                end
 
                end else begin
 
                        frame_addr_counter <= 0;
 
                end
        end
        end
 
 
        assign  IOB_ping_RAM_D = IOB_ping_RAM_WR ? IOB_ping_RAM_D_reg : {INP_SAMP_WIDTH{1'bZ}};
        assign  IOB_ping_RAM_D = IOB_ping_RAM_WR ? IOB_ping_RAM_D_reg : {INP_SAMP_WIDTH{1'bZ}};
 
 
        always @ (negedge clk) begin
        always @ (negedge clk) begin
                if (reset) begin
                if (reset) begin
                        cpu_io_counter <= 0;
                        cpu_io_counter <= 0;
                end else begin
                end else begin
                        if (cpu_io_counter <= (PING_ADDR_WIDTH**2) * 2) begin
                        if (cpu_io_counter <= (2**PING_ADDR_WIDTH) * 2) begin
                                cpu_io_counter <= cpu_io_counter + 1;
                                cpu_io_counter <= cpu_io_counter + 1;
                        end
                        end
                        if (cpu_io_counter < (PING_ADDR_WIDTH**2) * 2) begin
                        if (cpu_io_counter < (2**PING_ADDR_WIDTH) * 2) begin
                                IOB_ping_RAM_CS = 1;
                                IOB_ping_RAM_CS = 1;
                                IOB_ping_RAM_D_reg = ping_file[cpu_io_counter];
                                IOB_ping_RAM_D_reg = ping_file[cpu_io_counter];
                                IOB_ping_RAM_IQ = cpu_io_counter[0];
                                IOB_ping_RAM_IQ = cpu_io_counter[0];
                                IOB_ping_RAM_A = cpu_io_counter[PING_ADDR_WIDTH:1];
                                IOB_ping_RAM_A = cpu_io_counter[PING_ADDR_WIDTH:1];
                                IOB_ping_RAM_WR = 1;
                                IOB_ping_RAM_WR = 1;
                                IOB_ping_RAM_RD = 0;
                                IOB_ping_RAM_RD = 0;
                        end else begin
                        end else begin
 
                                IOB_ping_RAM_load_ready = 1;
                                IOB_ping_RAM_CS = 0;
                                IOB_ping_RAM_CS = 0;
                                IOB_ping_RAM_IQ = 0;
                                IOB_ping_RAM_IQ = 0;
                                IOB_ping_RAM_A = 0;
                                IOB_ping_RAM_A = 0;
                                IOB_ping_RAM_WR = 0;
                                IOB_ping_RAM_WR = 0;
                                IOB_ping_RAM_RD = 0;
                                IOB_ping_RAM_RD = 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.