OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [quartus10/] [csa_fpga.v] - Diff between revs 39 and 44

Show entire file | Details | Blame | View Log

Rev 39 Rev 44
Line 18... Line 18...
                        , output reg          slwr
                        , output reg          slwr
                        , output reg          slrd
                        , output reg          slrd
                        , output reg [ 1:0]   fifoadr
                        , output reg [ 1:0]   fifoadr
                        , inout      [15:0]   fd
                        , inout      [15:0]   fd
                        , input               ifclk
                        , input               ifclk
                        , output     [ 7:0]   led
                     //   , output     [ 7:0]   led
                        , output     [ 3:0]   ledseg
                        , output     [ 3:0]   ledseg
                        , output     [ 7:0]   seg_d
                        , output     [ 7:0]   seg_d
             );
             );
 
 
 
 
Line 31... Line 31...
        assign bell = 1'h1;
        assign bell = 1'h1;
 
 
 
 
        reg [15:0] data_r;
        reg [15:0] data_r;
        wire ep2_busy;
        wire ep2_busy;
 
        wire ep6_f;
 
        wire [15:0] ep6_data;
 
 
        always @(posedge usbclk)
        always @(posedge usbclk)
                if(~ep2_busy)
                if(~ep2_busy)
                        data_r<= data_r + 16'h1;
                        data_r<= data_r + 16'h1;
 
 
Line 66... Line 68...
                       , .ep2_t   (flagc)
                       , .ep2_t   (flagc)
                       , .ep2_busy(ep2_busy)
                       , .ep2_busy(ep2_busy)
                       , .ep2_wr  (data_r[0])
                       , .ep2_wr  (data_r[0])
                       , .ep2_data(data_r)
                       , .ep2_data(data_r)
                       , .ep6_t   (flaga)
                       , .ep6_t   (flaga)
                       , .ep6_f   ()
                       , .ep6_f   (ep6_f)
                       , .ep6_data()
                       , .ep6_data(ep6_data)
                       , .ep8_t   (flagb)
                       , .ep8_t   (flagb)
                       , .ep8_f   ()
                       , .ep8_f   ()
                       , .ep8_data()
                       , .ep8_data()
                        );
                        );
 
 
Line 83... Line 85...
                                 . clk            (usbclk)
                                 . clk            (usbclk)
                                ,. rst            (rst)
                                ,. rst            (rst)
                                ,. ck             (64'h0000000000000000)
                                ,. ck             (64'h0000000000000000)
                                ,. key_en         (1'h0)
                                ,. key_en         (1'h0)
                                ,. even_odd       (1'h0)
                                ,. even_odd       (1'h0)
                                ,. en             ()
                                ,. en             (ep6_f)
                                ,. encrypted      ()
                                ,. encrypted      (ep6_data[7:0])
                                ,. decrypted      ()
                                ,. decrypted      ()
                                ,. invalid        ()
                                ,. valid        ()
                    );
                    );
 
 
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.