OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys_top.syr] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 116... Line 116...
=========================================================================
=========================================================================
 
 
Elaborating entity  (architecture ) from library .
Elaborating entity  (architecture ) from library .
 
 
Elaborating entity  (architecture ) with generics from library .
Elaborating entity  (architecture ) with generics from library .
 
WARNING:HDLCompiler:634 - "C:\dropbox\Dropbox\VHDL_training\OpenCores\debouncer_vhdl\debouncer_vhdl\trunk\bench\debounce_atlys_top.vhd" Line 71: Net  does not have a driver.
 
 
=========================================================================
=========================================================================
*                           HDL Synthesis                               *
*                           HDL Synthesis                               *
=========================================================================
=========================================================================
 
 
Synthesizing Unit .
Synthesizing Unit .
    Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/debouncer_vhdl/debouncer_vhdl/trunk/bench/debounce_atlys_top.vhd".
    Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/debouncer_vhdl/debouncer_vhdl/trunk/bench/debounce_atlys_top.vhd".
    Found 8-bit register for signal .
WARNING:Xst:2935 - Signal 'dbg<15>', unconnected in block 'debounce_atlys_top', is tied to its initial value (0).
 
    Found 7-bit register for signal .
    Summary:
    Summary:
        inferred   8 D-type flip-flop(s).
        inferred   7 D-type flip-flop(s).
Unit  synthesized.
Unit  synthesized.
 
 
Synthesizing Unit .
Synthesizing Unit .
    Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/debouncer_vhdl/debouncer_vhdl/trunk/bench/grp_debouncer.vhd".
    Related source file is "c:/dropbox/dropbox/vhdl_training/opencores/debouncer_vhdl/debouncer_vhdl/trunk/bench/grp_debouncer.vhd".
        N = 8
        N = 7
        CNT_VAL = 5000
        CNT_VAL = 5000
    Found 8-bit register for signal .
    Found 7-bit register for signal .
    Found 8-bit register for signal .
    Found 7-bit register for signal .
    Found 1-bit register for signal .
    Found 1-bit register for signal .
    Found 8-bit register for signal .
    Found 7-bit register for signal .
    Found 13-bit register for signal .
    Found 13-bit register for signal .
    Found 14-bit adder for signal  created at line 167.
    Found 14-bit adder for signal  created at line 167.
    Found 8-bit comparator not equal for signal  created at line 192
    Found 7-bit comparator not equal for signal  created at line 192
    Found 8-bit comparator not equal for signal  created at line 194
    Found 7-bit comparator not equal for signal  created at line 194
    Summary:
    Summary:
        inferred   1 Adder/Subtractor(s).
        inferred   1 Adder/Subtractor(s).
        inferred  38 D-type flip-flop(s).
        inferred  35 D-type flip-flop(s).
        inferred   2 Comparator(s).
        inferred   2 Comparator(s).
Unit  synthesized.
Unit  synthesized.
 
 
=========================================================================
=========================================================================
HDL Synthesis Report
HDL Synthesis Report
Line 155... Line 157...
# Adders/Subtractors                                   : 1
# Adders/Subtractors                                   : 1
 14-bit adder                                          : 1
 14-bit adder                                          : 1
# Registers                                            : 6
# Registers                                            : 6
 1-bit register                                        : 1
 1-bit register                                        : 1
 13-bit register                                       : 1
 13-bit register                                       : 1
 8-bit register                                        : 4
 7-bit register                                        : 4
# Comparators                                          : 2
# Comparators                                          : 2
 8-bit comparator not equal                            : 2
 7-bit comparator not equal                            : 2
 
 
=========================================================================
=========================================================================
 
 
=========================================================================
=========================================================================
*                       Advanced HDL Synthesis                          *
*                       Advanced HDL Synthesis                          *
Line 176... Line 178...
Advanced HDL Synthesis Report
Advanced HDL Synthesis Report
 
 
Macro Statistics
Macro Statistics
# Counters                                             : 1
# Counters                                             : 1
 13-bit up counter                                     : 1
 13-bit up counter                                     : 1
# Registers                                            : 33
# Registers                                            : 29
 Flip-Flops                                            : 33
 Flip-Flops                                            : 29
# Comparators                                          : 2
# Comparators                                          : 2
 8-bit comparator not equal                            : 2
 7-bit comparator not equal                            : 2
 
 
=========================================================================
=========================================================================
 
 
=========================================================================
=========================================================================
*                         Low Level Synthesis                           *
*                         Low Level Synthesis                           *
Line 201... Line 203...
 
 
=========================================================================
=========================================================================
Final Register Report
Final Register Report
 
 
Macro Statistics
Macro Statistics
# Registers                                            : 46
# Registers                                            : 42
 Flip-Flops                                            : 46
 Flip-Flops                                            : 42
 
 
=========================================================================
=========================================================================
 
 
=========================================================================
=========================================================================
*                           Partition Report                            *
*                           Partition Report                            *
Line 225... Line 227...
 
 
Top Level Output File Name         : debounce_atlys_top.ngc
Top Level Output File Name         : debounce_atlys_top.ngc
 
 
Primitive and Black Box Usage:
Primitive and Black Box Usage:
------------------------------
------------------------------
# BELS                             : 75
# BELS                             : 73
#      GND                         : 1
#      GND                         : 1
#      INV                         : 1
#      INV                         : 1
#      LUT1                        : 12
#      LUT1                        : 12
#      LUT3                        : 2
#      LUT3                        : 1
#      LUT4                        : 8
#      LUT4                        : 9
#      LUT6                        : 25
#      LUT6                        : 23
#      MUXCY                       : 12
#      MUXCY                       : 12
#      VCC                         : 1
#      VCC                         : 1
#      XORCY                       : 13
#      XORCY                       : 13
# FlipFlops/Latches                : 46
# FlipFlops/Latches                : 42
#      FD                          : 30
#      FD                          : 28
#      FDE                         : 16
#      FDE                         : 14
# Clock Buffers                    : 1
# Clock Buffers                    : 1
#      BUFGP                       : 1
#      BUFGP                       : 1
# IO Buffers                       : 33
# IO Buffers                       : 30
#      IBUF                        : 8
#      IBUF                        : 7
#      OBUF                        : 25
#      OBUF                        : 23
 
 
Device utilization summary:
Device utilization summary:
---------------------------
---------------------------
 
 
Selected Device : 6slx45csg324-2
Selected Device : 6slx45csg324-2
 
 
 
 
Slice Logic Utilization:
Slice Logic Utilization:
 Number of Slice Registers:              46  out of  54576     0%
 Number of Slice Registers:              42  out of  54576     0%
 Number of Slice LUTs:                   48  out of  27288     0%
 Number of Slice LUTs:                   46  out of  27288     0%
    Number used as Logic:                48  out of  27288     0%
    Number used as Logic:                46  out of  27288     0%
 
 
Slice Logic Distribution:
Slice Logic Distribution:
 Number of LUT Flip Flop pairs used:     72
 Number of LUT Flip Flop pairs used:     67
   Number with an unused Flip Flop:      26  out of     72    36%
   Number with an unused Flip Flop:      25  out of     67    37%
   Number with an unused LUT:            24  out of     72    33%
   Number with an unused LUT:            21  out of     67    31%
   Number of fully used LUT-FF pairs:    22  out of     72    30%
   Number of fully used LUT-FF pairs:    21  out of     67    31%
   Number of unique control sets:         3
   Number of unique control sets:         3
 
 
IO Utilization:
IO Utilization:
 Number of IOs:                          34
 Number of IOs:                          31
 Number of bonded IOBs:                  34  out of    218    15%
 Number of bonded IOBs:                  31  out of    218    14%
 
 
Specific Feature Utilization:
Specific Feature Utilization:
 Number of BUFG/BUFGCTRLs:                1  out of     16     6%
 Number of BUFG/BUFGCTRLs:                1  out of     16     6%
 
 
---------------------------
---------------------------
Line 290... Line 292...
Clock Information:
Clock Information:
------------------
------------------
-----------------------------------+------------------------+-------+
-----------------------------------+------------------------+-------+
Clock Signal                       | Clock buffer(FF name)  | Load  |
Clock Signal                       | Clock buffer(FF name)  | Load  |
-----------------------------------+------------------------+-------+
-----------------------------------+------------------------+-------+
gclk_i                             | BUFGP                  | 46    |
gclk_i                             | BUFGP                  | 42    |
-----------------------------------+------------------------+-------+
-----------------------------------+------------------------+-------+
 
 
Asynchronous Control Signals Information:
Asynchronous Control Signals Information:
----------------------------------------
----------------------------------------
No asynchronous control signals found in this design
No asynchronous control signals found in this design
 
 
Timing Summary:
Timing Summary:
---------------
---------------
Speed Grade: -2
Speed Grade: -2
 
 
   Minimum period: 4.749ns (Maximum Frequency: 210.571MHz)
   Minimum period: 4.717ns (Maximum Frequency: 211.999MHz)
   Minimum input arrival time before clock: 2.127ns
   Minimum input arrival time before clock: 2.127ns
   Maximum output required time after clock: 4.412ns
   Maximum output required time after clock: 4.380ns
   Maximum combinational path delay: 4.965ns
   Maximum combinational path delay: 4.965ns
 
 
Timing Details:
Timing Details:
---------------
---------------
All values displayed in nanoseconds (ns)
All values displayed in nanoseconds (ns)
 
 
=========================================================================
=========================================================================
Timing constraint: Default period analysis for Clock 'gclk_i'
Timing constraint: Default period analysis for Clock 'gclk_i'
  Clock period: 4.749ns (frequency: 210.571MHz)
  Clock period: 4.717ns (frequency: 211.999MHz)
  Total number of paths / destination ports: 761 / 54
  Total number of paths / destination ports: 713 / 49
-------------------------------------------------------------------------
-------------------------------------------------------------------------
Delay:               4.749ns (Levels of Logic = 3)
Delay:               4.717ns (Levels of Logic = 3)
  Source:            Inst_sw_debouncer/cnt_reg_0 (FF)
  Source:            Inst_sw_debouncer/cnt_reg_0 (FF)
  Destination:       Inst_sw_debouncer/strb_reg (FF)
  Destination:       Inst_sw_debouncer/strb_reg (FF)
  Source Clock:      gclk_i rising
  Source Clock:      gclk_i rising
  Destination Clock: gclk_i rising
  Destination Clock: gclk_i rising
 
 
  Data Path: Inst_sw_debouncer/cnt_reg_0 to Inst_sw_debouncer/strb_reg
  Data Path: Inst_sw_debouncer/cnt_reg_0 to Inst_sw_debouncer/strb_reg
                                Gate     Net
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    ----------------------------------------  ------------
     FD:C->Q               2   0.525   1.181  Inst_sw_debouncer/cnt_reg_0 (Inst_sw_debouncer/cnt_reg_0)
     FD:C->Q               2   0.525   1.181  Inst_sw_debouncer/cnt_reg_0 (Inst_sw_debouncer/cnt_reg_0)
     LUT6:I0->O            9   0.254   1.084  Inst_sw_debouncer/dat_strb<12>1 (Inst_sw_debouncer/dat_strb<12>)
     LUT6:I0->O            8   0.254   1.052  Inst_sw_debouncer/dat_strb<12>1 (Inst_sw_debouncer/dat_strb<12>)
     LUT3:I1->O           14   0.250   1.127  Inst_sw_debouncer/dat_strb<12>3 (Inst_sw_debouncer/dat_strb)
     LUT3:I1->O           14   0.250   1.127  Inst_sw_debouncer/dat_strb<12>3 (Inst_sw_debouncer/dat_strb)
     LUT6:I5->O            1   0.254   0.000  Inst_sw_debouncer/strb_next7 (Inst_sw_debouncer/strb_next)
     LUT6:I5->O            1   0.254   0.000  Inst_sw_debouncer/strb_next6 (Inst_sw_debouncer/strb_next)
     FD:D                      0.074          Inst_sw_debouncer/strb_reg
     FD:D                      0.074          Inst_sw_debouncer/strb_reg
    ----------------------------------------
    ----------------------------------------
    Total                      4.749ns (1.357ns logic, 3.392ns route)
    Total                      4.717ns (1.357ns logic, 3.360ns route)
                                       (28.6% logic, 71.4% route)
                                       (28.8% logic, 71.2% route)
 
 
=========================================================================
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'gclk_i'
Timing constraint: Default OFFSET IN BEFORE for Clock 'gclk_i'
  Total number of paths / destination ports: 8 / 8
  Total number of paths / destination ports: 7 / 7
-------------------------------------------------------------------------
-------------------------------------------------------------------------
Offset:              2.127ns (Levels of Logic = 1)
Offset:              2.127ns (Levels of Logic = 1)
  Source:            sw_i<7> (PAD)
  Source:            sw_i<6> (PAD)
  Destination:       Inst_sw_debouncer/reg_A_7 (FF)
  Destination:       Inst_sw_debouncer/reg_A_6 (FF)
  Destination Clock: gclk_i rising
  Destination Clock: gclk_i rising
 
 
  Data Path: sw_i<7> to Inst_sw_debouncer/reg_A_7
  Data Path: sw_i<6> to Inst_sw_debouncer/reg_A_6
                                Gate     Net
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    ----------------------------------------  ------------
     IBUF:I->O             2   1.328   0.725  sw_i_7_IBUF (dbg_o_7_OBUF)
     IBUF:I->O             2   1.328   0.725  sw_i_6_IBUF (dbg_o_6_OBUF)
     FD:D                      0.074          Inst_sw_debouncer/reg_A_7
     FD:D                      0.074          Inst_sw_debouncer/reg_A_6
    ----------------------------------------
    ----------------------------------------
    Total                      2.127ns (1.402ns logic, 0.725ns route)
    Total                      2.127ns (1.402ns logic, 0.725ns route)
                                       (65.9% logic, 34.1% route)
                                       (65.9% logic, 34.1% route)
 
 
=========================================================================
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'gclk_i'
Timing constraint: Default OFFSET OUT AFTER for Clock 'gclk_i'
  Total number of paths / destination ports: 17 / 17
  Total number of paths / destination ports: 15 / 15
-------------------------------------------------------------------------
-------------------------------------------------------------------------
Offset:              4.412ns (Levels of Logic = 1)
Offset:              4.380ns (Levels of Logic = 1)
  Source:            Inst_sw_debouncer/strb_reg (FF)
  Source:            Inst_sw_debouncer/strb_reg (FF)
  Destination:       strb_o (PAD)
  Destination:       dbg_o<14> (PAD)
  Source Clock:      gclk_i rising
  Source Clock:      gclk_i rising
 
 
  Data Path: Inst_sw_debouncer/strb_reg to strb_o
  Data Path: Inst_sw_debouncer/strb_reg to dbg_o<14>
                                Gate     Net
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    ----------------------------------------  ------------
     FD:C->Q               9   0.525   0.975  Inst_sw_debouncer/strb_reg (Inst_sw_debouncer/strb_reg)
     FD:C->Q               8   0.525   0.943  Inst_sw_debouncer/strb_reg (Inst_sw_debouncer/strb_reg)
     OBUF:I->O                 2.912          strb_o_OBUF (strb_o)
     OBUF:I->O                 2.912          dbg_o_14_OBUF (dbg_o<14>)
    ----------------------------------------
    ----------------------------------------
    Total                      4.412ns (3.437ns logic, 0.975ns route)
    Total                      4.380ns (3.437ns logic, 0.943ns route)
                                       (77.9% logic, 22.1% route)
                                       (78.5% logic, 21.5% route)
 
 
=========================================================================
=========================================================================
Timing constraint: Default path analysis
Timing constraint: Default path analysis
  Total number of paths / destination ports: 8 / 8
  Total number of paths / destination ports: 7 / 7
-------------------------------------------------------------------------
-------------------------------------------------------------------------
Delay:               4.965ns (Levels of Logic = 2)
Delay:               4.965ns (Levels of Logic = 2)
  Source:            sw_i<7> (PAD)
  Source:            sw_i<6> (PAD)
  Destination:       dbg_o<7> (PAD)
  Destination:       dbg_o<6> (PAD)
 
 
  Data Path: sw_i<7> to dbg_o<7>
  Data Path: sw_i<6> to dbg_o<6>
                                Gate     Net
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    ----------------------------------------  ------------
     IBUF:I->O             2   1.328   0.725  sw_i_7_IBUF (dbg_o_7_OBUF)
     IBUF:I->O             2   1.328   0.725  sw_i_6_IBUF (dbg_o_6_OBUF)
     OBUF:I->O                 2.912          dbg_o_7_OBUF (dbg_o<7>)
     OBUF:I->O                 2.912          dbg_o_6_OBUF (dbg_o<6>)
    ----------------------------------------
    ----------------------------------------
    Total                      4.965ns (4.240ns logic, 0.725ns route)
    Total                      4.965ns (4.240ns logic, 0.725ns route)
                                       (85.4% logic, 14.6% route)
                                       (85.4% logic, 14.6% route)
 
 
=========================================================================
=========================================================================
Line 400... Line 402...
Clock to Setup on destination clock gclk_i
Clock to Setup on destination clock gclk_i
---------------+---------+---------+---------+---------+
---------------+---------+---------+---------+---------+
               | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
               | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock   |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
Source Clock   |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
---------------+---------+---------+---------+---------+
gclk_i         |    4.749|         |         |         |
gclk_i         |    4.717|         |         |         |
---------------+---------+---------+---------+---------+
---------------+---------+---------+---------+---------+
 
 
=========================================================================
=========================================================================
 
 
 
 
Total REAL time to Xst completion: 4.00 secs
Total REAL time to Xst completion: 4.00 secs
Total CPU time to Xst completion: 3.87 secs
Total CPU time to Xst completion: 4.57 secs
 
 
-->
-->
 
 
Total memory usage is 188424 kilobytes
Total memory usage is 185320 kilobytes
 
 
Number of errors   :    0 (   0 filtered)
Number of errors   :    0 (   0 filtered)
Number of warnings :    0 (   0 filtered)
Number of warnings :    2 (   0 filtered)
Number of infos    :    0 (   0 filtered)
Number of infos    :    0 (   0 filtered)
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.