OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [fpmult.qsf] - Diff between revs 4 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 6
Line 36... Line 36...
# -------------------------------------------------------------------------- #
# -------------------------------------------------------------------------- #
 
 
 
 
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C20F484C7
set_global_assignment -name DEVICE EP2C20F484C7
set_global_assignment -name TOP_LEVEL_ENTITY fpmult_top
set_global_assignment -name TOP_LEVEL_ENTITY fpmult
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 10.0
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 10.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:02:41  JANUARY 28, 2011"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:02:41  JANUARY 28, 2011"
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
set_global_assignment -name LAST_QUARTUS_VERSION 10.1
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
Line 53... Line 53...
set_global_assignment -name VHDL_FILE src/fpmult_stage0_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage0_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage0.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage0.vhdl
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name VHDL_FILE src/fpmult.vhdl
set_global_assignment -name VHDL_FILE src/fpmult.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_comp.vhdl
set_global_assignment -name BDF_FILE src/fpmult_top.bdf
 
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
 
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
 
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
 
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
 
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
 
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE src/SignalTap.stp
set_global_assignment -name USE_SIGNALTAP_FILE src/SignalTap.stp
set_location_assignment PIN_A13 -to GPIO_0[0]
set_location_assignment PIN_A13 -to GPIO_0[0]
set_location_assignment PIN_B13 -to GPIO_0[1]
set_location_assignment PIN_B13 -to GPIO_0[1]
set_location_assignment PIN_A14 -to GPIO_0[2]
set_location_assignment PIN_A14 -to GPIO_0[2]
Line 340... Line 334...
set_location_assignment PIN_Y7 -to SRAM_LB_N
set_location_assignment PIN_Y7 -to SRAM_LB_N
set_location_assignment PIN_T8 -to SRAM_OE_N
set_location_assignment PIN_T8 -to SRAM_OE_N
set_location_assignment PIN_W7 -to SRAM_UB_N
set_location_assignment PIN_W7 -to SRAM_UB_N
set_location_assignment PIN_AA10 -to SRAM_WE_N
set_location_assignment PIN_AA10 -to SRAM_WE_N
set_location_assignment PIN_AB15 -to FL_CE_N
set_location_assignment PIN_AB15 -to FL_CE_N
set_global_assignment -name SOURCE_FILE src/sp.spf
 
set_global_assignment -name QIP_FILE src/sp.qip
 
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name MISC_FILE "D:/Work/VHDL/fpmult/fpmult.dpf"
set_global_assignment -name MISC_FILE "D:/Work/VHDL/fpmult/fpmult.dpf"
set_global_assignment -name VHDL_FILE src/fpmult_stage23_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage23_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage23.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stage23.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_generic.vhdl
 
set_global_assignment -name VHDL_FILE src/fp_generic.vhdl
set_global_assignment -name VHDL_FILE src/fp_generic.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN_comp.vhdl
set_global_assignment -name VHDL_FILE src/fpmult_stageN_comp.vhdl
 
set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "D:/Work/VHDL/encore/fpmult/sim" -section_id eda_simulation
 
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
 
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
 
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
 
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
 
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
 
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
 
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.