OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult.vhdl] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 53... Line 53...
        fpmult_stage0_in.a<=a;
        fpmult_stage0_in.a<=a;
        fpmult_stage0_in.b<=b;
        fpmult_stage0_in.b<=b;
 
 
        stage0:fpmult_stage0 port map(clk,fpmult_stage0_in,fpmult_stage0_out);
        stage0:fpmult_stage0 port map(clk,fpmult_stage0_in,fpmult_stage0_out);
 
 
        fpmult_stageN_in_array(1).a<=fpmult_stage0_out.a;
        fpmult_stageN_in_array(1)<=fpmult_stage0_out;
        fpmult_stageN_in_array(1).b<=fpmult_stage0_out.b;
 
        fpmult_stageN_in_array(1).p_sign<=fpmult_stage0_out.p_sign;
 
        fpmult_stageN_in_array(1).p_exp<=fpmult_stage0_out.p_exp;
 
        fpmult_stageN_in_array(1).p_mantissa<=fpmult_stage0_out.p_mantissa;
 
 
 
        pipeline:for N in 22 downto 1 generate
        pipeline:for N in 22 downto 1 generate
                stageN:fpmult_stageN generic map(N) port map(clk,fpmult_stageN_in_array(N),fpmult_stageN_out_array(N));
                stageN:fpmult_stageN generic map(N) port map(clk,fpmult_stageN_in_array(N),fpmult_stageN_out_array(N));
                fpmult_stageN_in_array(N+1)<=fpmult_stageN_out_array(N);
                fpmult_stageN_in_array(N+1)<=fpmult_stageN_out_array(N);
        end generate pipeline;
        end generate pipeline;
 
 
        fpmult_stage23_in.a<=fpmult_stageN_out_array(22).a;
        fpmult_stage23_in<=fpmult_stageN_out_array(22);
        fpmult_stage23_in.p_sign<=fpmult_stageN_out_array(22).p_sign;
 
        fpmult_stage23_in.p_exp<=fpmult_stageN_out_array(22).p_exp;
 
        fpmult_stage23_in.p_mantissa<=fpmult_stageN_out_array(22).p_mantissa;
 
 
 
        stage23:fpmult_stage23 port map(clk,fpmult_stage23_in,fpmult_stage23_out);
        stage23:fpmult_stage23 port map(clk,fpmult_stage23_in,fpmult_stage23_out);
 
 
        p<=fpmult_stage23_out.p;
        p<=fpmult_stage23_out.p;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.