OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_comp.vhdl] - Diff between revs 4 and 6

Show entire file | Details | Blame | View Log

Rev 4 Rev 6
Line 1... Line 1...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
use work.fp_generic.all;
 
 
package fpmult_comp is
package fpmult_comp is
        type fpmult_in_type is record
        type fpmult_in_type is record
                a:std_logic_vector(22 downto 0);
                a:fp_type;
                b:std_logic_vector(22 downto 0);
                b:fp_type;
        end record;
        end record;
 
 
        type fpmult_out_type is record
        type fpmult_out_type is record
                p:std_logic_vector(22 downto 0);
                p:fp_type;
        end record;
        end record;
 
 
        component fpmult is
        component fpmult is
                port(
                port(
                        clk:in std_logic;
                        clk:in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.