OpenCores
URL https://opencores.org/ocsvn/encore/encore/trunk

Subversion Repositories encore

[/] [encore/] [trunk/] [fpmult/] [src/] [fpmult_stage23_comp.vhdl] - Diff between revs 5 and 6

Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
use work.fp_generic.all;
use work.fp_generic.all;
use work.fpmult_generic.all;
 
use work.fpmult_stageN_comp.all;
use work.fpmult_stageN_comp.all;
 
 
package fpmult_stage23_comp is
package fpmult_stage23_comp is
        alias fpmult_stage23_in_type is fpmult_stageN_out_type;
        alias fpmult_stage23_in_type is fpmult_stageN_out_type;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.