OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

[/] [ether_arp_1g/] [trunk/] [rtl/] [arp_package.vhdl] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
 
----------------------------------------------------------------------------------
 
-- Company: Carnegie Mellon University, Pittsburgh PA 
 
-- Engineer: Justin Wagner
-- 
-- 
-- author:   Justin Wagner
-- Create Date:    7/Oct/2011
-- file:     arp_package.vhdl
-- Design Name: 
-- comment:  package for ARP
-- Module Name:    arp_package - package 
 
-- Project Name: 
 
-- Target Devices:  n/a
 
-- Tool versions: 
--
--
 
-- Dependencies: arp_package.vhdl (Definitions of various constants)
 
--
 
----------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
 
 
package arp_package is
package arp_package is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.