OpenCores
URL https://opencores.org/ocsvn/fifo_srl_uni/fifo_srl_uni/trunk

Subversion Repositories fifo_srl_uni

[/] [fifo_srl_uni/] [trunk/] [fifo_srl_uni.vhd] - Diff between revs 3 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 5
Line 4... Line 4...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : fifo_srl_uni.vhd
-- File       : fifo_srl_uni.vhd
-- Author     : Tomasz Turek  <tomasz.turek@gmail.com>
-- Author     : Tomasz Turek  <tomasz.turek@gmail.com>
-- Company    : SzuWar INC
-- Company    : SzuWar INC
-- Created    : 13:27:31 14-03-2010
-- Created    : 13:27:31 14-03-2010
-- Last update: 12:03:49 18-03-2010
-- Last update: 23:23:38 20-03-2010
-- Platform   : Xilinx ISE 10.1.03
-- Platform   : Xilinx ISE 10.1.03
-- Standard   : VHDL'93
-- Standard   : VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description: 
-- Description: 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 125... Line 125...
   signal t_mux_in        : type_data_path;
   signal t_mux_in        : type_data_path;
   signal t_srl_in        : type_srl_path;
   signal t_srl_in        : type_srl_path;
   signal t_mux_out       : type_out_reg;
   signal t_mux_out       : type_out_reg;
   signal t_reg_in        : type_in_reg;
   signal t_reg_in        : type_in_reg;
   signal one_delay       : std_logic := '0';
   signal one_delay       : std_logic := '0';
   signal dupa       : std_logic := '0';
   signal ce_master       : std_logic;
 
   signal full_capacity   : std_logic;
 
   signal data_valid_off  : std_logic;
 
 
begin  -- architecture fifo_srl_uni_r
begin  -- architecture fifo_srl_uni_r
 
 
 
 
   v_zeros <= (others => '0');
   v_zeros <= (others => '0');
   v_ones  <= (others => '1');
   v_ones  <= (others => '1');
 
-------------------------------------------------------------------------------
 
-- Input Register --
 
-------------------------------------------------------------------------------
   GR0: if iInputReg = 0 generate
   GR0: if iInputReg = 0 generate
 
 
      t_srl_in(0) <= DATA_I;
      t_srl_in(0) <= DATA_I;
      v_WRITE_ENABLE(0) <= WRITE_ENABLE_I;
      v_WRITE_ENABLE(0) <= WRITE_ENABLE_I;
 
 
Line 178... Line 181...
         end if;
         end if;
 
 
      end process P1;
      end process P1;
 
 
   end generate GR2;
   end generate GR2;
 
-------------------------------------------------------------------------------
 
-- Input Register --
 
-------------------------------------------------------------------------------
 
 
 
-------------------------------------------------------------------------------
 
-- FIFO Core, SRL16E based --
 
-------------------------------------------------------------------------------
   G1: for i in 0 to c_srl_count - 1 generate
   G1: for i in 0 to c_srl_count - 1 generate
 
 
      G0: for j in 0 to iDataWidth - 1 generate
      G0: for j in 0 to iDataWidth - 1 generate
 
 
         SRLC16_inst : SRLC16E
         SRLC16_inst : SRLC16E
Line 192... Line 201...
                  Q15 => t_srl_in(i+1)(j), -- Carry output (connect to next SRL)
                  Q15 => t_srl_in(i+1)(j), -- Carry output (connect to next SRL)
                  A0 => v_delay_counter(0), -- Select[0] input
                  A0 => v_delay_counter(0), -- Select[0] input
                  A1 => v_delay_counter(1), -- Select[1] input
                  A1 => v_delay_counter(1), -- Select[1] input
                  A2 => v_delay_counter(2), -- Select[2] input
                  A2 => v_delay_counter(2), -- Select[2] input
                  A3 => v_delay_counter(3), -- Select[3] input
                  A3 => v_delay_counter(3), -- Select[3] input
                  CE => v_WRITE_ENABLE(0), -- Clock enable input
                  CE => ce_master, -- Clock enable input
                  CLK => CLK_I, -- Clock input
                  CLK => CLK_I, -- Clock input
                  D => t_srl_in(i)(j) -- SRL data input
                  D => t_srl_in(i)(j) -- SRL data input
                  );
                  );
 
 
      end generate G0;
      end generate G0;
 
 
   end generate G1;
   end generate G1;
 
-------------------------------------------------------------------------------
 
-- FIFO Core, SRL16E based --
 
-------------------------------------------------------------------------------
 
 
   i_srl_select <= conv_integer((v_delay_counter(iSizeDelayCounter - 1 downto 4)));
   i_srl_select <= conv_integer((v_delay_counter(iSizeDelayCounter - 1 downto 4)));
   i_size_counter <= conv_integer(v_size_counter);
   i_size_counter <= conv_integer(v_size_counter);
 
   ce_master <= v_WRITE_ENABLE(0) and (not full_capacity);
 
 
   P0: process (CLK_I) is
   P0: process (CLK_I) is
   begin  -- process P0
   begin  -- process P0
 
 
      if rising_edge(CLK_I) then
      if rising_edge(CLK_I) then
 
 
         if (v_WRITE_ENABLE(0) = '1') and (READ_ENABLE_I = '0') and (v_size_counter /= v_ones) then
         if (v_WRITE_ENABLE(0) = '1') and (READ_ENABLE_I = '0') and (i_size_counter < ififoWidth) then
 
 
            if one_delay = '1' then
            if one_delay = '1' then
 
 
               v_delay_counter <= v_delay_counter + 1;
               v_delay_counter <= v_delay_counter + 1;
               one_delay <= '1';
               one_delay <= '1';
Line 225... Line 238...
 
 
            end if;
            end if;
 
 
            v_size_counter <= v_size_counter + 1;
            v_size_counter <= v_size_counter + 1;
 
 
         elsif (v_WRITE_ENABLE(0) = '0') and (READ_ENABLE_I = '1') and (v_size_counter /= v_zeros) then
         elsif (v_WRITE_ENABLE(0) = '0') and (READ_ENABLE_I = '1') and (i_size_counter > 0) then
 
 
            if v_delay_counter = v_zeros then
            if v_delay_counter = v_zeros then
 
 
               one_delay <= '0';
               one_delay <= '0';
 
 
Line 248... Line 261...
            v_size_counter <= v_size_counter;
            v_size_counter <= v_size_counter;
            one_delay <= one_delay;
            one_delay <= one_delay;
 
 
         end if;
         end if;
 
 
 
         if i_size_counter = 0 then
 
 
 
            data_valid_off <= '1';
 
 
 
         else
 
 
 
            data_valid_off <= '0';
 
 
 
         end if;
 
 
      end if;
      end if;
 
 
   end process P0;
   end process P0;
 
 
 
   full_capacity <= '0' when i_size_counter < ififoWidth else '1';
 
-------------------------------------------------------------------------------
 
-- Output Register --
 
-------------------------------------------------------------------------------
   t_mux_out(0) <= t_mux_in(i_srl_select);
   t_mux_out(0) <= t_mux_in(i_srl_select);
   READ_VALID_O <= v_READ_ENABLE(0);
   READ_VALID_O <= v_READ_ENABLE(0) and (not data_valid_off);
   FIFO_COUNT_O <= v_size_counter;
   FIFO_COUNT_O <= v_size_counter;
 
 
 
 
   GM0: if iOutputReg = 0 generate
   GM0: if iOutputReg = 0 generate
 
 
      DATA_O <= t_mux_out(0);
      DATA_O <= t_mux_out(0);
      v_READ_ENABLE(0) <= READ_ENABLE_I;
      v_READ_ENABLE(0) <= READ_ENABLE_I;
 
 
Line 301... Line 329...
         end if;
         end if;
 
 
      end process P2;
      end process P2;
 
 
   end generate GM2;
   end generate GM2;
 
-------------------------------------------------------------------------------
 
-- Output Register --
 
-------------------------------------------------------------------------------
 
 
   PF: process (CLK_I) is
-------------------------------------------------------------------------------
   begin  -- process PF
-- Flag Generators --
 
-------------------------------------------------------------------------------
      if rising_edge(CLK_I) then
   EMPTY_FLAG_O <= '0' when (i_size_counter)> iEmptyFlagOfSet             else '1';
 
   FULL_FLAG_O  <= '1' when i_size_counter >= ififoWidth - iFullFlagOfSet else '0';
         if i_size_counter >= ififoWidth - iFullFlagOfSet then
-------------------------------------------------------------------------------
 
-- Flag Generators --
            FULL_FLAG_O <= '1';
-------------------------------------------------------------------------------
 
 
         else
 
 
 
            FULL_FLAG_O <= '0';
 
 
 
         end if;
 
 
 
         if i_size_counter < iEmptyFlagOfSet then
 
 
 
            EMPTY_FLAG_O <= '1';
 
 
 
         else
 
 
 
            EMPTY_FLAG_O <= '0';
 
 
 
         end if;
 
 
 
      end if;
 
 
 
   end process PF;
 
 
 
 
 
 
 
end architecture fifo_srl_uni_rtl;
end architecture fifo_srl_uni_rtl;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.