OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sram/] [1.0/] [hdl/] [ip/] [hpd_rx_and_conf.vhd] - Diff between revs 147 and 164

Show entire file | Details | Blame | View Log

Rev 147 Rev 164
Line 256... Line 256...
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
  avalon_we     <= hibi_empty_in nor hibi_av_in;
  avalon_we     <= hibi_empty_in nor hibi_av_in;
  avalon_we_out <= avalon_we and curr_chan_avalon_we_r;
  avalon_we_out <= avalon_we and curr_chan_avalon_we_r;
 
 
  hibi_re <= (not avalon_waitrequest_in)  -- three first were just anded
  hibi_re <= (not avalon_waitrequest_in or hibi_av_in)  -- three first were just anded
             and (avalon_we or hibi_empty_in or hibi_av_in)
             and (avalon_we or hibi_empty_in or hibi_av_in)
             and (curr_chan_avalon_we_r or hibi_empty_in)
             and (curr_chan_avalon_we_r or hibi_empty_in)
             and not (unknown_rx or unknown_rx_irq_r);
             and not (unknown_rx or unknown_rx_irq_r);
 
 
  unknown_rx <= (not or_reduce(matches_cmb) and
  unknown_rx <= (not or_reduce(matches_cmb) and

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.