OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dct_to_hibi/] [1.0/] [dct_to_hibi.1.0.xml] - Diff between revs 166 and 167

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 167
Line 8... Line 8...
        ip.hwp.accelerator
        ip.hwp.accelerator
        dct_to_hibi
        dct_to_hibi
        1.0
        1.0
        DCT to Hibi. Connects dctQidct block to HIBI Wrapper
        DCT to Hibi. Connects dctQidct block to HIBI Wrapper
 
 
 
 
Input:
Input:
1. Two address to send the results to (one for quant, one for idct)
1.  Address to send the results to quant
 
2.  Address to send the results to idct (set unused address if you don't use this)
2. Control word for the current macroblock
2. Control word for the current macroblock
    Control word structure: bit 6: chroma(1)/luma(0), 5: intra(1)/inter(0),
    Control word structure: bit    6: chroma(1)/luma(0) (NOT USED),
 
                                5: intra(1)/inter(0),
                             4..0: quantizer parameter (QP)
                             4..0: quantizer parameter (QP)
3. Then the DCT data ( 8x8x6 x 16-bit values = 384 x 16 bit )
3. Then the DCT data ( 8x8x6 x 16-bit values = 384 x 16 bit )
 
 
Chroma/luma: 4 luma, 2 chroma
Only 9b DCT data values are supported currently.
 
Send two DCT-values packed to upper and lower 16bits in the sigle hibi transmission.
 
 
 
<31------------------16--------------------0>  BIT index
 
            DCT_DATA_1         DCT_DATA_0     DATA
 
 
 
 
 
NOTE: If self release is used (use_self_rel_g=1) user gets the signal that dct_to_hibi is ready to receive data.
 
            By default self release is disabled and you user can send data to dct_to_hibi after quant results are received.
 
 
 
 
Outputs:
Outputs:
 Outputs are 16-bit words which are packed up to hibi. If hibi width is
 Outputs are 16-bit words which are packed up to hibi. If hibi width is
 32b, then 2 16-bit words are combined into one hibi word.
 32b, then 2 16-bit words are combined into one hibi word.
 01. quant results: 1. 8*8 x 16bit values to quant result address
 01. quant results: 1. 8*8 x 16bit values to quant result address
Line 902... Line 912...
                                0
                                0
                        
                        
                        
                        
                                use_self_rel_g
                                use_self_rel_g
                                Does it release itself from RTM?
                                Does it release itself from RTM?
                                1
                                0
                        
                        
                
                
        
        
        
        
                
                

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.