OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [pkt_codec_mk2/] [1.0/] [vhd/] [address_lut.vhd] - Diff between revs 145 and 147

Show entire file | Details | Blame | View Log

Rev 145 Rev 147
Line 4... Line 4...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : address_lut.vhd
-- File       : address_lut.vhd
-- Author     : Lasse Lehtonen
-- Author     : Lasse Lehtonen
-- Company    : 
-- Company    : 
-- Created    : 2011-01-12
-- Created    : 2011-01-12
-- Last update: 2012-03-19
-- Last update: 2012-05-04
-- Platform   : 
-- Platform   : 
-- Standard   : VHDL'93
-- Standard   : VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description: Converts memory mapped I/O address to NoC address
-- Description: Converts memory mapped I/O address to NoC address
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 24... Line 24...
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
use work.ase_noc_pkg.all;
use work.ase_noc_pkg.all;
use work.ase_mesh1_pkg.all;
use work.ase_mesh1_pkg.all;
use work.ase_dring1_pkg.all;
use work.ase_dring1_pkg.all;
 
use work.fh_mesh_pkg.all;
 
 
entity address_lut is
entity address_lut is
 
 
  generic (
  generic (
    my_id_g        : natural;
    my_id_g        : natural;
Line 35... Line 36...
    address_mode_g : natural;
    address_mode_g : natural;
    cols_g         : positive;
    cols_g         : positive;
    rows_g         : positive;
    rows_g         : positive;
    agent_ports_g  : positive;
    agent_ports_g  : positive;
    agents_g       : positive;
    agents_g       : positive;
    noc_type_g     : natural);
    noc_type_g     : natural;
 
    len_width_g    : natural);          -- 2012-05-04
 
 
  port (
  port (
    addr_in  : in  std_logic_vector(data_width_g-1 downto 0);
    addr_in  : in  std_logic_vector(data_width_g-1 downto 0);
 
    len_in   : in  std_logic_vector(len_width_g-1 downto 0);  -- 2012-05-04
    addr_out : out std_logic_vector(data_width_g-1 downto 0));
    addr_out : out std_logic_vector(data_width_g-1 downto 0));
 
 
end entity address_lut;
end entity address_lut;
 
 
 
 
Line 58... Line 61...
 
 
  type addr_range_type is array (0 to 2) of unsigned(data_width_g-1 downto 0);
  type addr_range_type is array (0 to 2) of unsigned(data_width_g-1 downto 0);
  type addr_lut_type is array (0 to n_addr_ranges_c-1) of addr_range_type;
  type addr_lut_type is array (0 to n_addr_ranges_c-1) of addr_range_type;
 
 
  function addr_gen (
  function addr_gen (
    constant target : natural)
    constant target : natural;
 
    length : integer)
    return unsigned is
    return unsigned is
    variable retval : unsigned(data_width_g-1 downto 0);
    variable retval : unsigned(data_width_g-1 downto 0);
  begin
  begin
    if noc_type_g = 0 then
    if noc_type_g = 0 then
      retval := unsigned(ase_noc_address(my_id_g, target, cols_g, rows_g,
      retval := unsigned(ase_noc_address(my_id_g, target, cols_g, rows_g,
Line 77... Line 81...
    if noc_type_g = 2 then
    if noc_type_g = 2 then
      retval := unsigned(dring1_address(my_id_g, target, agents_g,
      retval := unsigned(dring1_address(my_id_g, target, agents_g,
                                        data_width_g));
                                        data_width_g));
      return retval;
      return retval;
    end if;
    end if;
 
    if noc_type_g = 3 then
 
      retval := unsigned(fh_mesh_address(my_id_g, target, rows_g, cols_g,
 
                                        data_width_g, len_width_g,
 
                                         length));
 
      return retval;
 
    end if;
  end addr_gen;
  end addr_gen;
 
 
  function addr_gen_s (
  function addr_gen_s (
    signal target : integer)
    signal target : integer;
 
    length : integer)
    return std_logic_vector is
    return std_logic_vector is
    variable retval : std_logic_vector(data_width_g-1 downto 0);
    variable retval : std_logic_vector(data_width_g-1 downto 0);
  begin
  begin
    if noc_type_g = 0 then
    if noc_type_g = 0 then
      retval := ase_noc_address_s(my_id_g, target, cols_g, rows_g,
      retval := ase_noc_address_s(my_id_g, target, cols_g, rows_g,
Line 98... Line 109...
    end if;
    end if;
    if noc_type_g = 2 then
    if noc_type_g = 2 then
      retval :=  dring1_address(my_id_g, target, agents_g,  data_width_g);
      retval :=  dring1_address(my_id_g, target, agents_g,  data_width_g);
      return retval;
      return retval;
    end if;
    end if;
 
    if noc_type_g = 3 then
 
      retval := fh_mesh_address(my_id_g, target, rows_g, cols_g,
 
                                data_width_g, len_width_g, length);
 
      return retval;
 
    end if;
  end addr_gen_s;
  end addr_gen_s;
 
 
  -- First  = address range's minimum address
  -- First  = address range's minimum address
  -- Second = address range's maximum address
  -- Second = address range's maximum address
  -- Third  = corresponding network address
  -- Third  = corresponding network address
 
 
  constant addr_lut_c : addr_lut_type :=
  constant addr_lut_c : addr_lut_type :=
    (
    (
      (x"00000000", x"00FFFFFF", addr_gen(0)),
      (x"00000000", x"00FFFFFF", addr_gen(0,8)),
      (x"01000000", x"01FFFFFF", addr_gen(1)),
      (x"01000000", x"01FFFFFF", addr_gen(1,8)),
      (x"02000000", x"02FFFFFF", addr_gen(2)),
      (x"02000000", x"02FFFFFF", addr_gen(2,8)),
      (x"03000000", x"03FFFFFF", addr_gen(3)),
      (x"03000000", x"03FFFFFF", addr_gen(3,8)),
      (x"04000000", x"04FFFFFF", addr_gen(4)),
      (x"04000000", x"04FFFFFF", addr_gen(4,8)),
      (x"05000000", x"05FFFFFF", addr_gen(5)),
      (x"05000000", x"05FFFFFF", addr_gen(5,8)),
      (x"06000000", x"06FFFFFF", addr_gen(6)),
      (x"06000000", x"06FFFFFF", addr_gen(6,8)),
      (x"07000000", x"07FFFFFF", addr_gen(7)),
      (x"07000000", x"07FFFFFF", addr_gen(7,8)),
      (x"08000000", x"08FFFFFF", addr_gen(8)),
      (x"08000000", x"08FFFFFF", addr_gen(8,8)),
      (x"09000000", x"09FFFFFF", addr_gen(9)),
      (x"09000000", x"09FFFFFF", addr_gen(9,8)),
      (x"0A000000", x"0AFFFFFF", addr_gen(10)),
      (x"0A000000", x"0AFFFFFF", addr_gen(10,8)),
      (x"0B000000", x"0BFFFFFF", addr_gen(11)),
      (x"0B000000", x"0BFFFFFF", addr_gen(11,8)),
      (x"0C000000", x"0CFFFFFF", addr_gen(12)),
      (x"0C000000", x"0CFFFFFF", addr_gen(12,8)),
      (x"0D000000", x"0DFFFFFF", addr_gen(13)),
      (x"0D000000", x"0DFFFFFF", addr_gen(13,8)),
      (x"0E000000", x"0EFFFFFF", addr_gen(14)),
      (x"0E000000", x"0EFFFFFF", addr_gen(14,8)),
      (x"0F000000", x"0FFFFFFF", addr_gen(15)),
      (x"0F000000", x"0FFFFFFF", addr_gen(15,8)),
      (x"10000000", x"10FFFFFF", addr_gen(16)),
      (x"10000000", x"10FFFFFF", addr_gen(16,8)),
      (x"11000000", x"11FFFFFF", addr_gen(17)),
      (x"11000000", x"11FFFFFF", addr_gen(17,8)),
      (x"12000000", x"12FFFFFF", addr_gen(18)),
      (x"12000000", x"12FFFFFF", addr_gen(18,8)),
      (x"13000000", x"13FFFFFF", addr_gen(19)),
      (x"13000000", x"13FFFFFF", addr_gen(19,8)),
      (x"14000000", x"14FFFFFF", addr_gen(20)),
      (x"14000000", x"14FFFFFF", addr_gen(20,8)),
      (x"15000000", x"15FFFFFF", addr_gen(21)),
      (x"15000000", x"15FFFFFF", addr_gen(21,8)),
      (x"16000000", x"16FFFFFF", addr_gen(22)),
      (x"16000000", x"16FFFFFF", addr_gen(22,8)),
      (x"17000000", x"17FFFFFF", addr_gen(23)),
      (x"17000000", x"17FFFFFF", addr_gen(23,8)),
      (x"18000000", x"18FFFFFF", addr_gen(24)),
      (x"18000000", x"18FFFFFF", addr_gen(24,8)),
      (x"19000000", x"19FFFFFF", addr_gen(25)),
      (x"19000000", x"19FFFFFF", addr_gen(25,8)),
      (x"1A000000", x"1AFFFFFF", addr_gen(26)),
      (x"1A000000", x"1AFFFFFF", addr_gen(26,8)),
      (x"1B000000", x"1BFFFFFF", addr_gen(27)),
      (x"1B000000", x"1BFFFFFF", addr_gen(27,8)),
      (x"1C000000", x"1CFFFFFF", addr_gen(28)),
      (x"1C000000", x"1CFFFFFF", addr_gen(28,8)),
      (x"1D000000", x"1DFFFFFF", addr_gen(29)),
      (x"1D000000", x"1DFFFFFF", addr_gen(29,8)),
      (x"1E000000", x"1EFFFFFF", addr_gen(30)),
      (x"1E000000", x"1EFFFFFF", addr_gen(30,8)),
      (x"1F000000", x"1FFFFFFF", addr_gen(31))
      (x"1F000000", x"1FFFFFFF", addr_gen(31,8))
      );
      );
 
 
--  constant addr_lut_c : addr_lut_type :=
--  constant addr_lut_c : addr_lut_type :=
--    (
--    (
--      (x"0000", x"0FFF", addr_gen(0)),
--      (x"0000", x"0FFF", addr_gen(0)),
Line 180... Line 196...
  -- INTEGER ADDRESSES
  -- INTEGER ADDRESSES
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  use_int_addr_gen : if address_mode_g = 1 generate
  use_int_addr_gen : if address_mode_g = 1 generate
 
 
    noc_target <= to_integer(unsigned(addr_in(data_width_g-2 downto 0)));
    noc_target <= to_integer(unsigned(addr_in(data_width_g-2 downto 0)));
    addr_out    <= addr_gen_s(noc_target);
    addr_out   <= addr_gen_s(noc_target, to_integer(unsigned(len_in)));
 
 
  end generate use_int_addr_gen;
  end generate use_int_addr_gen;
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- NO ADDRESS TRANSLATION
  -- NO ADDRESS TRANSLATION

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.