OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_datapath.vhd] - Diff between revs 23 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 24
Line 159... Line 159...
      BUSWIDTH => BUSWIDTH)
      BUSWIDTH => BUSWIDTH)
    port map (
    port map (
      i_din   => i_rx_data,
      i_din   => i_rx_data,
      i_clk   => i_sysclk,
      i_clk   => i_sysclk,
      i_rd_en => i_receive_fifo_rd_en,
      i_rd_en => i_receive_fifo_rd_en,
      i_rst   => i_nReset,
      i_rst   => i_receive_fifo_reset,
      i_wr_en => i_receive_fifo_wr_en,
      i_wr_en => i_receive_fifo_wr_en,
      o_dout  => o_receive_fifo_data,
      o_dout  => o_receive_fifo_data,
      o_empty => s_receive_fifo_empty,
      o_empty => s_receive_fifo_empty,
      o_full  => o_receive_fifo_full);
      o_full  => o_receive_fifo_full);
 
 
Line 172... Line 172...
      BUSWIDTH => BUSWIDTH)
      BUSWIDTH => BUSWIDTH)
    port map (
    port map (
      i_din   => i_send_fifo_data,
      i_din   => i_send_fifo_data,
      i_clk   => i_sysclk,
      i_clk   => i_sysclk,
      i_rd_en => i_send_fifo_rd_en,
      i_rd_en => i_send_fifo_rd_en,
      i_rst   => i_nReset,
      i_rst   => i_send_fifo_reset,
      i_wr_en => i_send_fifo_wr_en,
      i_wr_en => i_send_fifo_wr_en,
      o_dout  => s_send_fifo_data,
      o_dout  => s_send_fifo_data,
      o_empty => o_send_fifo_empty,
      o_empty => o_send_fifo_empty,
      o_full  => o_send_fifo_full);
      o_full  => o_send_fifo_full);
 
 
Line 307... Line 307...
    '0';
    '0';
 
 
 
 
  -- purpose: mulitiplexer to construct the tmc header structure
  -- purpose: mulitiplexer to construct the tmc header structure
  -- type   : combinational
  -- type   : combinational
  -- inputs : i_send_mux_sel, s_btag, s_nbtag, s_send_fifo_data,
  -- inputs : i_send_mux_sel, i_send_have_more_data, s_btag, s_nbtag,
  --          s_send_transfersize_reg
  --          s_send_fifo_data, s_send_transfersize_reg
  -- outputs: o_tx_data
  -- outputs: o_tx_data
  tx_data_mux: process (i_send_mux_sel, s_btag, s_nbtag, s_send_fifo_data,
  tx_data_mux: process (i_send_mux_sel, i_send_have_more_data, s_btag,
                        s_send_transfersize_reg)
                        s_nbtag, s_send_fifo_data, s_send_transfersize_reg)
  begin  -- process tx_data_mux
  begin  -- process tx_data_mux
    case i_send_mux_sel is
    case i_send_mux_sel is
      when "000" => o_tx_data <= x"02" & s_btag;  -- MsgID and stored bTag
      when "000" => o_tx_data <= x"02" & s_btag;  -- MsgID and stored bTag
      when "001" => o_tx_data <= s_nbtag & x"00"; -- inverted bTag and Reserved
      when "001" => o_tx_data <= s_nbtag & x"00"; -- inverted bTag and Reserved
      when "010" => o_tx_data <= s_send_transfersize_reg(15 downto 0);
      when "010" => o_tx_data <= s_send_transfersize_reg(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.