OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_fsm.vhd] - Diff between revs 23 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 24
Line 123... Line 123...
  signal s_nbtag_reg_en               : std_logic;
  signal s_nbtag_reg_en               : std_logic;
  signal s_send_fifo_rd_en            : std_logic;
  signal s_send_fifo_rd_en            : std_logic;
  signal s_send_fifo_reset            : std_logic;
  signal s_send_fifo_reset            : std_logic;
  signal s_send_counter_load          : std_logic;
  signal s_send_counter_load          : std_logic;
  signal s_send_counter_en            : std_logic;
  signal s_send_counter_en            : std_logic;
  signal s_send_counter_zero          : std_logic;
 
  signal s_send_mux_sel               : std_logic_vector(2 downto 0);
  signal s_send_mux_sel               : std_logic_vector(2 downto 0);
  signal s_send_finished              : std_logic;
  signal s_send_finished              : std_logic;
  signal s_receive_newdata_set        : std_logic;
  signal s_receive_newdata_set        : std_logic;
  signal s_receive_end_of_message_set : std_logic;
  signal s_receive_end_of_message_set : std_logic;
  signal s_send_data_request_set      : std_logic;
  signal s_send_data_request_set      : std_logic;
Line 210... Line 209...
    s_send_fifo_rd_en            <= '0';
    s_send_fifo_rd_en            <= '0';
    s_send_fifo_reset            <= '0';
    s_send_fifo_reset            <= '0';
    s_send_counter_load          <= '0';
    s_send_counter_load          <= '0';
    s_send_counter_en            <= '0';
    s_send_counter_en            <= '0';
    s_send_mux_sel               <= (others => '0');
    s_send_mux_sel               <= (others => '0');
 
    s_send_finished              <= '0';
    s_receive_newdata_set        <= '0';
    s_receive_newdata_set        <= '0';
    s_receive_end_of_message_set <= '0';
    s_receive_end_of_message_set <= '0';
    s_send_data_request_set      <= '0';
    s_send_data_request_set      <= '0';
    s_gpif_eom                   <= '0';
    s_gpif_eom                   <= '0';
    s_gpif_rx_rd_en              <= '0';
    s_gpif_rx_rd_en              <= '0';
Line 297... Line 297...
    elsif state = st21_load_counter then
    elsif state = st21_load_counter then
      s_send_mux_sel <= "101";
      s_send_mux_sel <= "101";
    end if;
    end if;
 
 
    if state = st24_wait_for_send_end and i_gpif_tx = '0' then
    if state = st24_wait_for_send_end and i_gpif_tx = '0' then
      s_send_finished <= '0';
      s_send_finished <= '1';
    end if;
    end if;
 
 
    if state = st10_signal_receive_new_data then
    if state = st10_signal_receive_new_data then
      s_receive_newdata_set <= '1';
      s_receive_newdata_set <= '1';
    end if;
    end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.