OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.cpj] - Diff between revs 26 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 26 Rev 27
Line 1... Line 1...
#ChipScope Pro Analyzer Project File, Version 3.0
#ChipScope Pro Analyzer Project File, Version 3.0
#Thu Feb 18 16:29:57 CET 2010
#Mon Feb 22 18:02:47 CET 2010
deviceChain.deviceName0=XC3S1500
deviceChain.deviceName0=XC3S1500
deviceChain.iRLength0=6
deviceChain.iRLength0=6
deviceChain.name0=MyDevice0
deviceChain.name0=MyDevice0
deviceIds=01434093
deviceIds=01434093
import.certifyIdx=-1
import.certifyIdx=-1
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
import.filename=GECKO3COM_simple_test.cdc
import.filename=GECKO3COM_simple_test.cdc
import.unitDeviceIdx=0
import.unitDeviceIdx=0
mdiAreaHeight=0.8265503875968992
mdiAreaHeight=0.8258317025440313
mdiAreaHeightLast=0.689922480620155
mdiAreaHeightLast=0.6898238747553816
mdiCount=2
mdiCount=2
mdiDevice0=0
mdiDevice0=0
mdiDevice1=0
mdiDevice1=0
mdiType0=1
mdiType0=1
mdiType1=0
mdiType1=0
mdiUnit0=0
mdiUnit0=0
mdiUnit1=0
mdiUnit1=0
navigatorHeight=0.17926356589147288
navigatorHeight=0.31604696673189825
navigatorHeightLast=0.17926356589147288
navigatorHeightLast=0.17906066536203522
navigatorWidth=0.19253554502369669
navigatorWidth=0.11407103825136612
navigatorWidthLast=0.49111374407582936
navigatorWidthLast=0.2889344262295082
unit.-1.-1.username=
unit.-1.-1.username=
unit.0.0.0.HEIGHT0=0.45882353
unit.0.-1.username=
 
unit.0.0.0.HEIGHT0=0.4589774
unit.0.0.0.TriggerRow0=1
unit.0.0.0.TriggerRow0=1
unit.0.0.0.TriggerRow1=1
unit.0.0.0.TriggerRow1=1
unit.0.0.0.TriggerRow2=1
unit.0.0.0.TriggerRow2=1
unit.0.0.0.WIDTH0=1.0104244
unit.0.0.0.WIDTH0=1.0571653
unit.0.0.0.X0=0.0
unit.0.0.0.X0=0.0
unit.0.0.0.Y0=0.0
unit.0.0.0.Y0=0.0
unit.0.0.1.HEIGHT1=0.9082353
unit.0.0.1.HEIGHT1=0.9084423
unit.0.0.1.WIDTH1=1.0781832
unit.0.0.1.WIDTH1=1.0563822
unit.0.0.1.X1=0.0
unit.0.0.1.X1=-0.0031323414
unit.0.0.1.Y1=0.08117647
unit.0.0.1.Y1=0.10463734
unit.0.0.MFBitsA0=XXXX1XXXXXXX
unit.0.0.MFBitsA0=XXXXX1XXXXXX
unit.0.0.MFBitsA1=XXXXXXXXXXXX
unit.0.0.MFBitsA1=XXXXXXXXXXXX
unit.0.0.MFBitsB0=000000000000
unit.0.0.MFBitsB0=000000000000
unit.0.0.MFBitsB1=000000000000
unit.0.0.MFBitsB1=000000000000
unit.0.0.MFCompareA0=0
unit.0.0.MFCompareA0=0
unit.0.0.MFCompareA1=0
unit.0.0.MFCompareA1=0
Line 50... Line 51...
unit.0.0.SQContiguous0=0
unit.0.0.SQContiguous0=0
unit.0.0.SequencerOn=0
unit.0.0.SequencerOn=0
unit.0.0.TCActive=0
unit.0.0.TCActive=0
unit.0.0.TCAdvanced0=0
unit.0.0.TCAdvanced0=0
unit.0.0.TCCondition0_0=M0
unit.0.0.TCCondition0_0=M0
unit.0.0.TCCondition0_1=M0 --> M0
unit.0.0.TCCondition0_1=M0
unit.0.0.TCConditionType0=1
unit.0.0.TCConditionType0=0
unit.0.0.TCCount=1
unit.0.0.TCCount=1
unit.0.0.TCEventCount0=1
unit.0.0.TCEventCount0=1
unit.0.0.TCEventType0=3
unit.0.0.TCEventType0=3
unit.0.0.TCName0=TriggerCondition0
unit.0.0.TCName0=TriggerCondition0
unit.0.0.TCOutputEnable0=0
unit.0.0.TCOutputEnable0=0
unit.0.0.TCOutputHigh0=1
unit.0.0.TCOutputHigh0=1
unit.0.0.TCOutputMode0=0
unit.0.0.TCOutputMode0=0
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
 
unit.0.0.browser_tree_state=0
 
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=1
unit.0.0.browser_tree_state=1
 
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=1
unit.0.0.browser_tree_state=1
 
unit.0.0.browser_tree_state=1
 
unit.0.0.browser_tree_state=1
unit.0.0.browser_tree_state=0
unit.0.0.browser_tree_state=0
 
unit.0.0.browser_tree_state=0
unit.0.0.coretype=ILA
unit.0.0.coretype=ILA
unit.0.0.eventCount0=1
unit.0.0.eventCount0=1
unit.0.0.eventCount1=1
unit.0.0.eventCount1=1
unit.0.0.port.-1.b.0.alias=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.port.-1.b.0.alias=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.port.-1.b.0.channellist=74 81 82 83 84 85 86 87 88 89 75 76 77 78 79 80
unit.0.0.port.-1.b.0.channellist=74 81 82 83 84 85 86 87 88 89 75 76 77 78 79 80
Line 96... Line 103...
unit.0.0.port.-1.b.1.tokencount=0
unit.0.0.port.-1.b.1.tokencount=0
unit.0.0.port.-1.b.1.unsignedOffset=0.0
unit.0.0.port.-1.b.1.unsignedOffset=0.0
unit.0.0.port.-1.b.1.unsignedPrecision=0
unit.0.0.port.-1.b.1.unsignedPrecision=0
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.1.visible=1
unit.0.0.port.-1.b.1.visible=1
unit.0.0.port.-1.b.2.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.b.10.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.2.channellist=42 53 64 43 44 45 46 47 48 49 50 51 52 54 55 56 57 58 59 60 61 62 63
unit.0.0.port.-1.b.10.channellist=29 30
 
unit.0.0.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
 
unit.0.0.port.-1.b.10.name=/GECKO3COM_simple_1/s_receive_transfersize_en
 
unit.0.0.port.-1.b.10.orderindex=-1
 
unit.0.0.port.-1.b.10.radix=Hex
 
unit.0.0.port.-1.b.10.signedOffset=0.0
 
unit.0.0.port.-1.b.10.signedPrecision=0
 
unit.0.0.port.-1.b.10.signedScaleFactor=1.0
 
unit.0.0.port.-1.b.10.tokencount=0
 
unit.0.0.port.-1.b.10.unsignedOffset=0.0
 
unit.0.0.port.-1.b.10.unsignedPrecision=0
 
unit.0.0.port.-1.b.10.unsignedScaleFactor=1.0
 
unit.0.0.port.-1.b.10.visible=1
 
unit.0.0.port.-1.b.2.alias=/s_send_fifo_data
 
unit.0.0.port.-1.b.2.channellist=49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.2.name=/GECKO3COM_simple_1/o_receive_transfersize
unit.0.0.port.-1.b.2.name=/s_send_fifo_data
unit.0.0.port.-1.b.2.orderindex=-1
unit.0.0.port.-1.b.2.orderindex=-1
unit.0.0.port.-1.b.2.radix=Hex
unit.0.0.port.-1.b.2.radix=Hex
unit.0.0.port.-1.b.2.signedOffset=0.0
unit.0.0.port.-1.b.2.signedOffset=0.0
unit.0.0.port.-1.b.2.signedPrecision=0
unit.0.0.port.-1.b.2.signedPrecision=0
unit.0.0.port.-1.b.2.signedScaleFactor=1.0
unit.0.0.port.-1.b.2.signedScaleFactor=1.0
unit.0.0.port.-1.b.2.tokencount=0
unit.0.0.port.-1.b.2.tokencount=0
unit.0.0.port.-1.b.2.unsignedOffset=0.0
unit.0.0.port.-1.b.2.unsignedOffset=0.0
unit.0.0.port.-1.b.2.unsignedPrecision=0
unit.0.0.port.-1.b.2.unsignedPrecision=0
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.2.visible=1
unit.0.0.port.-1.b.2.visible=1
unit.0.0.port.-1.b.3.alias=Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.b.3.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
unit.0.0.port.-1.b.3.channellist=46 45 44 43 42
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/o_receive_transfersize
unit.0.0.port.-1.b.3.orderindex=-1
unit.0.0.port.-1.b.3.orderindex=-1
unit.0.0.port.-1.b.3.radix=Hex
unit.0.0.port.-1.b.3.radix=Hex
unit.0.0.port.-1.b.3.signedOffset=0.0
unit.0.0.port.-1.b.3.signedOffset=0.0
unit.0.0.port.-1.b.3.signedPrecision=0
unit.0.0.port.-1.b.3.signedPrecision=0
unit.0.0.port.-1.b.3.signedScaleFactor=1.0
unit.0.0.port.-1.b.3.signedScaleFactor=1.0
unit.0.0.port.-1.b.3.tokencount=0
unit.0.0.port.-1.b.3.tokencount=0
unit.0.0.port.-1.b.3.unsignedOffset=0.0
unit.0.0.port.-1.b.3.unsignedOffset=0.0
unit.0.0.port.-1.b.3.unsignedPrecision=0
unit.0.0.port.-1.b.3.unsignedPrecision=0
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.3.visible=1
unit.0.0.port.-1.b.3.visible=1
unit.0.0.port.-1.b.4.alias=s_btag
unit.0.0.port.-1.b.4.alias=Mcount_s_send_transfersize_coun
unit.0.0.port.-1.b.4.channellist=122 123 124 125 126 127 128 129
unit.0.0.port.-1.b.4.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_coun
unit.0.0.port.-1.b.4.orderindex=-1
unit.0.0.port.-1.b.4.orderindex=-1
unit.0.0.port.-1.b.4.radix=Hex
unit.0.0.port.-1.b.4.radix=Hex
unit.0.0.port.-1.b.4.signedOffset=0.0
unit.0.0.port.-1.b.4.signedOffset=0.0
unit.0.0.port.-1.b.4.signedPrecision=0
unit.0.0.port.-1.b.4.signedPrecision=0
unit.0.0.port.-1.b.4.signedScaleFactor=1.0
unit.0.0.port.-1.b.4.signedScaleFactor=1.0
unit.0.0.port.-1.b.4.tokencount=0
unit.0.0.port.-1.b.4.tokencount=0
unit.0.0.port.-1.b.4.unsignedOffset=0.0
unit.0.0.port.-1.b.4.unsignedOffset=0.0
unit.0.0.port.-1.b.4.unsignedPrecision=0
unit.0.0.port.-1.b.4.unsignedPrecision=0
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.4.visible=1
unit.0.0.port.-1.b.4.visible=1
unit.0.0.port.-1.b.5.alias=s_msg_id
unit.0.0.port.-1.b.5.alias=s_btag
unit.0.0.port.-1.b.5.channellist=130 131 132 133 134 135 136 137
unit.0.0.port.-1.b.5.channellist=122 123 124 125 126 127 128 129
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
unit.0.0.port.-1.b.5.orderindex=-1
unit.0.0.port.-1.b.5.orderindex=-1
unit.0.0.port.-1.b.5.radix=Hex
unit.0.0.port.-1.b.5.radix=Hex
unit.0.0.port.-1.b.5.signedOffset=0.0
unit.0.0.port.-1.b.5.signedOffset=0.0
unit.0.0.port.-1.b.5.signedPrecision=0
unit.0.0.port.-1.b.5.signedPrecision=0
unit.0.0.port.-1.b.5.signedScaleFactor=1.0
unit.0.0.port.-1.b.5.signedScaleFactor=1.0
unit.0.0.port.-1.b.5.tokencount=0
unit.0.0.port.-1.b.5.tokencount=0
unit.0.0.port.-1.b.5.unsignedOffset=0.0
unit.0.0.port.-1.b.5.unsignedOffset=0.0
unit.0.0.port.-1.b.5.unsignedPrecision=0
unit.0.0.port.-1.b.5.unsignedPrecision=0
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.5.visible=1
unit.0.0.port.-1.b.5.visible=1
unit.0.0.port.-1.b.6.alias=s_nbtag
unit.0.0.port.-1.b.6.alias=s_msg_id
unit.0.0.port.-1.b.6.channellist=138 139 140 141 142 143 144 145
unit.0.0.port.-1.b.6.channellist=130 131 132 133 134 135 136 137
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
unit.0.0.port.-1.b.6.orderindex=-1
unit.0.0.port.-1.b.6.orderindex=-1
unit.0.0.port.-1.b.6.radix=Hex
unit.0.0.port.-1.b.6.radix=Hex
unit.0.0.port.-1.b.6.signedOffset=0.0
unit.0.0.port.-1.b.6.signedOffset=0.0
unit.0.0.port.-1.b.6.signedPrecision=0
unit.0.0.port.-1.b.6.signedPrecision=0
unit.0.0.port.-1.b.6.signedScaleFactor=1.0
unit.0.0.port.-1.b.6.signedScaleFactor=1.0
unit.0.0.port.-1.b.6.tokencount=0
unit.0.0.port.-1.b.6.tokencount=0
unit.0.0.port.-1.b.6.unsignedOffset=0.0
unit.0.0.port.-1.b.6.unsignedOffset=0.0
unit.0.0.port.-1.b.6.unsignedPrecision=0
unit.0.0.port.-1.b.6.unsignedPrecision=0
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.6.visible=1
unit.0.0.port.-1.b.6.visible=1
unit.0.0.port.-1.b.7.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.7.alias=s_nbtag
unit.0.0.port.-1.b.7.channellist=29 30
unit.0.0.port.-1.b.7.channellist=138 139 140 141 142 143 144 145
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
unit.0.0.port.-1.b.7.orderindex=-1
unit.0.0.port.-1.b.7.orderindex=-1
unit.0.0.port.-1.b.7.radix=Hex
unit.0.0.port.-1.b.7.radix=Hex
unit.0.0.port.-1.b.7.signedOffset=0.0
unit.0.0.port.-1.b.7.signedOffset=0.0
unit.0.0.port.-1.b.7.signedPrecision=0
unit.0.0.port.-1.b.7.signedPrecision=0
unit.0.0.port.-1.b.7.signedScaleFactor=1.0
unit.0.0.port.-1.b.7.signedScaleFactor=1.0
unit.0.0.port.-1.b.7.tokencount=0
unit.0.0.port.-1.b.7.tokencount=0
unit.0.0.port.-1.b.7.unsignedOffset=0.0
unit.0.0.port.-1.b.7.unsignedOffset=0.0
unit.0.0.port.-1.b.7.unsignedPrecision=0
unit.0.0.port.-1.b.7.unsignedPrecision=0
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.7.visible=1
unit.0.0.port.-1.b.7.visible=1
unit.0.0.port.-1.buscount=8
unit.0.0.port.-1.b.8.alias=s_receive_transfersize_en
 
unit.0.0.port.-1.b.8.channellist=29 30
 
unit.0.0.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
 
unit.0.0.port.-1.b.8.name=/GECKO3COM_simple_1/s_receive_transfersize_en
 
unit.0.0.port.-1.b.8.orderindex=-1
 
unit.0.0.port.-1.b.8.radix=Hex
 
unit.0.0.port.-1.b.8.signedOffset=0.0
 
unit.0.0.port.-1.b.8.signedPrecision=0
 
unit.0.0.port.-1.b.8.signedScaleFactor=1.0
 
unit.0.0.port.-1.b.8.tokencount=0
 
unit.0.0.port.-1.b.8.unsignedOffset=0.0
 
unit.0.0.port.-1.b.8.unsignedPrecision=0
 
unit.0.0.port.-1.b.8.unsignedScaleFactor=1.0
 
unit.0.0.port.-1.b.8.visible=1
 
unit.0.0.port.-1.b.9.alias=s_receive_transfersize_en
 
unit.0.0.port.-1.b.9.channellist=29 30
 
unit.0.0.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
 
unit.0.0.port.-1.b.9.name=/GECKO3COM_simple_1/s_receive_transfersize_en
 
unit.0.0.port.-1.b.9.orderindex=-1
 
unit.0.0.port.-1.b.9.radix=Hex
 
unit.0.0.port.-1.b.9.signedOffset=0.0
 
unit.0.0.port.-1.b.9.signedPrecision=0
 
unit.0.0.port.-1.b.9.signedScaleFactor=1.0
 
unit.0.0.port.-1.b.9.tokencount=0
 
unit.0.0.port.-1.b.9.unsignedOffset=0.0
 
unit.0.0.port.-1.b.9.unsignedPrecision=0
 
unit.0.0.port.-1.b.9.unsignedScaleFactor=1.0
 
unit.0.0.port.-1.b.9.visible=1
 
unit.0.0.port.-1.buscount=9
unit.0.0.port.-1.channelcount=146
unit.0.0.port.-1.channelcount=146
unit.0.0.port.-1.s.0.alias=
unit.0.0.port.-1.s.0.alias=
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.port.-1.s.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.port.-1.s.0.orderindex=-1
unit.0.0.port.-1.s.0.orderindex=-1
Line 199... Line 248...
unit.0.0.port.-1.s.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.port.-1.s.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.port.-1.s.10.orderindex=-1
unit.0.0.port.-1.s.10.orderindex=-1
unit.0.0.port.-1.s.10.visible=1
unit.0.0.port.-1.s.10.visible=1
unit.0.0.port.-1.s.100.alias=
unit.0.0.port.-1.s.100.alias=
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_9
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count9
unit.0.0.port.-1.s.100.orderindex=-1
unit.0.0.port.-1.s.100.orderindex=-1
unit.0.0.port.-1.s.100.visible=0
unit.0.0.port.-1.s.100.visible=0
unit.0.0.port.-1.s.101.alias=
unit.0.0.port.-1.s.101.alias=
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_10
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count10
unit.0.0.port.-1.s.101.orderindex=-1
unit.0.0.port.-1.s.101.orderindex=-1
unit.0.0.port.-1.s.101.visible=0
unit.0.0.port.-1.s.101.visible=0
unit.0.0.port.-1.s.102.alias=
unit.0.0.port.-1.s.102.alias=
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_11
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count11
unit.0.0.port.-1.s.102.orderindex=-1
unit.0.0.port.-1.s.102.orderindex=-1
unit.0.0.port.-1.s.102.visible=0
unit.0.0.port.-1.s.102.visible=0
unit.0.0.port.-1.s.103.alias=
unit.0.0.port.-1.s.103.alias=
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_12
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count12
unit.0.0.port.-1.s.103.orderindex=-1
unit.0.0.port.-1.s.103.orderindex=-1
unit.0.0.port.-1.s.103.visible=0
unit.0.0.port.-1.s.103.visible=0
unit.0.0.port.-1.s.104.alias=
unit.0.0.port.-1.s.104.alias=
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_13
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count13
unit.0.0.port.-1.s.104.orderindex=-1
unit.0.0.port.-1.s.104.orderindex=-1
unit.0.0.port.-1.s.104.visible=0
unit.0.0.port.-1.s.104.visible=0
unit.0.0.port.-1.s.105.alias=
unit.0.0.port.-1.s.105.alias=
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_14
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count14
unit.0.0.port.-1.s.105.orderindex=-1
unit.0.0.port.-1.s.105.orderindex=-1
unit.0.0.port.-1.s.105.visible=0
unit.0.0.port.-1.s.105.visible=0
unit.0.0.port.-1.s.106.alias=
unit.0.0.port.-1.s.106.alias=
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_15
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count15
unit.0.0.port.-1.s.106.orderindex=-1
unit.0.0.port.-1.s.106.orderindex=-1
unit.0.0.port.-1.s.106.visible=0
unit.0.0.port.-1.s.106.visible=0
unit.0.0.port.-1.s.107.alias=
unit.0.0.port.-1.s.107.alias=
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_16
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count16
unit.0.0.port.-1.s.107.orderindex=-1
unit.0.0.port.-1.s.107.orderindex=-1
unit.0.0.port.-1.s.107.visible=0
unit.0.0.port.-1.s.107.visible=0
unit.0.0.port.-1.s.108.alias=
unit.0.0.port.-1.s.108.alias=
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_17
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count17
unit.0.0.port.-1.s.108.orderindex=-1
unit.0.0.port.-1.s.108.orderindex=-1
unit.0.0.port.-1.s.108.visible=0
unit.0.0.port.-1.s.108.visible=0
unit.0.0.port.-1.s.109.alias=
unit.0.0.port.-1.s.109.alias=
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_18
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count18
unit.0.0.port.-1.s.109.orderindex=-1
unit.0.0.port.-1.s.109.orderindex=-1
unit.0.0.port.-1.s.109.visible=0
unit.0.0.port.-1.s.109.visible=0
unit.0.0.port.-1.s.11.alias=
unit.0.0.port.-1.s.11.alias=
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.port.-1.s.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.port.-1.s.11.orderindex=-1
unit.0.0.port.-1.s.11.orderindex=-1
unit.0.0.port.-1.s.11.visible=1
unit.0.0.port.-1.s.11.visible=1
unit.0.0.port.-1.s.110.alias=
unit.0.0.port.-1.s.110.alias=
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_19
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count19
unit.0.0.port.-1.s.110.orderindex=-1
unit.0.0.port.-1.s.110.orderindex=-1
unit.0.0.port.-1.s.110.visible=0
unit.0.0.port.-1.s.110.visible=0
unit.0.0.port.-1.s.111.alias=
unit.0.0.port.-1.s.111.alias=
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_20
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count20
unit.0.0.port.-1.s.111.orderindex=-1
unit.0.0.port.-1.s.111.orderindex=-1
unit.0.0.port.-1.s.111.visible=0
unit.0.0.port.-1.s.111.visible=0
unit.0.0.port.-1.s.112.alias=
unit.0.0.port.-1.s.112.alias=
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_21
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count21
unit.0.0.port.-1.s.112.orderindex=-1
unit.0.0.port.-1.s.112.orderindex=-1
unit.0.0.port.-1.s.112.visible=0
unit.0.0.port.-1.s.112.visible=0
unit.0.0.port.-1.s.113.alias=
unit.0.0.port.-1.s.113.alias=
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_22
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count22
unit.0.0.port.-1.s.113.orderindex=-1
unit.0.0.port.-1.s.113.orderindex=-1
unit.0.0.port.-1.s.113.visible=0
unit.0.0.port.-1.s.113.visible=0
unit.0.0.port.-1.s.114.alias=
unit.0.0.port.-1.s.114.alias=
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_23
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count23
unit.0.0.port.-1.s.114.orderindex=-1
unit.0.0.port.-1.s.114.orderindex=-1
unit.0.0.port.-1.s.114.visible=0
unit.0.0.port.-1.s.114.visible=0
unit.0.0.port.-1.s.115.alias=
unit.0.0.port.-1.s.115.alias=
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_24
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count24
unit.0.0.port.-1.s.115.orderindex=-1
unit.0.0.port.-1.s.115.orderindex=-1
unit.0.0.port.-1.s.115.visible=0
unit.0.0.port.-1.s.115.visible=0
unit.0.0.port.-1.s.116.alias=
unit.0.0.port.-1.s.116.alias=
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_25
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count25
unit.0.0.port.-1.s.116.orderindex=-1
unit.0.0.port.-1.s.116.orderindex=-1
unit.0.0.port.-1.s.116.visible=0
unit.0.0.port.-1.s.116.visible=0
unit.0.0.port.-1.s.117.alias=
unit.0.0.port.-1.s.117.alias=
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_26
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count26
unit.0.0.port.-1.s.117.orderindex=-1
unit.0.0.port.-1.s.117.orderindex=-1
unit.0.0.port.-1.s.117.visible=0
unit.0.0.port.-1.s.117.visible=0
unit.0.0.port.-1.s.118.alias=
unit.0.0.port.-1.s.118.alias=
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_27
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count27
unit.0.0.port.-1.s.118.orderindex=-1
unit.0.0.port.-1.s.118.orderindex=-1
unit.0.0.port.-1.s.118.visible=0
unit.0.0.port.-1.s.118.visible=0
unit.0.0.port.-1.s.119.alias=
unit.0.0.port.-1.s.119.alias=
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_28
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count28
unit.0.0.port.-1.s.119.orderindex=-1
unit.0.0.port.-1.s.119.orderindex=-1
unit.0.0.port.-1.s.119.visible=0
unit.0.0.port.-1.s.119.visible=0
unit.0.0.port.-1.s.12.alias=
unit.0.0.port.-1.s.12.alias=
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.port.-1.s.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.port.-1.s.12.orderindex=-1
unit.0.0.port.-1.s.12.orderindex=-1
unit.0.0.port.-1.s.12.visible=1
unit.0.0.port.-1.s.12.visible=1
unit.0.0.port.-1.s.120.alias=
unit.0.0.port.-1.s.120.alias=
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_29
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count29
unit.0.0.port.-1.s.120.orderindex=-1
unit.0.0.port.-1.s.120.orderindex=-1
unit.0.0.port.-1.s.120.visible=0
unit.0.0.port.-1.s.120.visible=0
unit.0.0.port.-1.s.121.alias=
unit.0.0.port.-1.s.121.alias=
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_30
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count30
unit.0.0.port.-1.s.121.orderindex=-1
unit.0.0.port.-1.s.121.orderindex=-1
unit.0.0.port.-1.s.121.visible=0
unit.0.0.port.-1.s.121.visible=0
unit.0.0.port.-1.s.122.alias=
unit.0.0.port.-1.s.122.alias=
unit.0.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<0>
unit.0.0.port.-1.s.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<0>
Line 601... Line 650...
unit.0.0.port.-1.s.41.visible=0
unit.0.0.port.-1.s.41.visible=0
unit.0.0.port.-1.s.42.alias=
unit.0.0.port.-1.s.42.alias=
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.s.42.orderindex=-1
unit.0.0.port.-1.s.42.orderindex=-1
unit.0.0.port.-1.s.42.visible=0
unit.0.0.port.-1.s.42.visible=1
unit.0.0.port.-1.s.43.alias=
unit.0.0.port.-1.s.43.alias=
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
unit.0.0.port.-1.s.43.orderindex=-1
unit.0.0.port.-1.s.43.orderindex=-1
unit.0.0.port.-1.s.43.visible=0
unit.0.0.port.-1.s.43.visible=1
unit.0.0.port.-1.s.44.alias=
unit.0.0.port.-1.s.44.alias=
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
unit.0.0.port.-1.s.44.orderindex=-1
unit.0.0.port.-1.s.44.orderindex=-1
unit.0.0.port.-1.s.44.visible=0
unit.0.0.port.-1.s.44.visible=1
unit.0.0.port.-1.s.45.alias=
unit.0.0.port.-1.s.45.alias=
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
unit.0.0.port.-1.s.45.orderindex=-1
unit.0.0.port.-1.s.45.orderindex=-1
unit.0.0.port.-1.s.45.visible=0
unit.0.0.port.-1.s.45.visible=1
unit.0.0.port.-1.s.46.alias=
unit.0.0.port.-1.s.46.alias=
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
unit.0.0.port.-1.s.46.orderindex=-1
unit.0.0.port.-1.s.46.orderindex=-1
unit.0.0.port.-1.s.46.visible=0
unit.0.0.port.-1.s.46.visible=1
unit.0.0.port.-1.s.47.alias=
unit.0.0.port.-1.s.47.alias=
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd6
unit.0.0.port.-1.s.47.name=/s_send_counter_equals_transfer_size
unit.0.0.port.-1.s.47.orderindex=-1
unit.0.0.port.-1.s.47.orderindex=-1
unit.0.0.port.-1.s.47.visible=0
unit.0.0.port.-1.s.47.visible=1
unit.0.0.port.-1.s.48.alias=
unit.0.0.port.-1.s.48.alias=
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd7
unit.0.0.port.-1.s.48.name=/s_send_transfersize_en
unit.0.0.port.-1.s.48.orderindex=-1
unit.0.0.port.-1.s.48.orderindex=-1
unit.0.0.port.-1.s.48.visible=0
unit.0.0.port.-1.s.48.visible=1
unit.0.0.port.-1.s.49.alias=
unit.0.0.port.-1.s.49.alias=
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd8
unit.0.0.port.-1.s.49.name=/s_send_fifo_data<0>
unit.0.0.port.-1.s.49.orderindex=-1
unit.0.0.port.-1.s.49.orderindex=-1
unit.0.0.port.-1.s.49.visible=0
unit.0.0.port.-1.s.49.visible=0
unit.0.0.port.-1.s.5.alias=
unit.0.0.port.-1.s.5.alias=
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.port.-1.s.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.port.-1.s.5.orderindex=-1
unit.0.0.port.-1.s.5.orderindex=-1
unit.0.0.port.-1.s.5.visible=1
unit.0.0.port.-1.s.5.visible=1
unit.0.0.port.-1.s.50.alias=
unit.0.0.port.-1.s.50.alias=
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd9
unit.0.0.port.-1.s.50.name=/s_send_fifo_data<1>
unit.0.0.port.-1.s.50.orderindex=-1
unit.0.0.port.-1.s.50.orderindex=-1
unit.0.0.port.-1.s.50.visible=0
unit.0.0.port.-1.s.50.visible=0
unit.0.0.port.-1.s.51.alias=
unit.0.0.port.-1.s.51.alias=
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd10
unit.0.0.port.-1.s.51.name=/s_send_fifo_data<2>
unit.0.0.port.-1.s.51.orderindex=-1
unit.0.0.port.-1.s.51.orderindex=-1
unit.0.0.port.-1.s.51.visible=0
unit.0.0.port.-1.s.51.visible=0
unit.0.0.port.-1.s.52.alias=
unit.0.0.port.-1.s.52.alias=
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd11
unit.0.0.port.-1.s.52.name=/s_send_fifo_data<3>
unit.0.0.port.-1.s.52.orderindex=-1
unit.0.0.port.-1.s.52.orderindex=-1
unit.0.0.port.-1.s.52.visible=0
unit.0.0.port.-1.s.52.visible=0
unit.0.0.port.-1.s.53.alias=
unit.0.0.port.-1.s.53.alias=
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd12
unit.0.0.port.-1.s.53.name=/s_send_fifo_data<4>
unit.0.0.port.-1.s.53.orderindex=-1
unit.0.0.port.-1.s.53.orderindex=-1
unit.0.0.port.-1.s.53.visible=0
unit.0.0.port.-1.s.53.visible=0
unit.0.0.port.-1.s.54.alias=
unit.0.0.port.-1.s.54.alias=
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd13
unit.0.0.port.-1.s.54.name=/s_send_fifo_data<5>
unit.0.0.port.-1.s.54.orderindex=-1
unit.0.0.port.-1.s.54.orderindex=-1
unit.0.0.port.-1.s.54.visible=0
unit.0.0.port.-1.s.54.visible=0
unit.0.0.port.-1.s.55.alias=
unit.0.0.port.-1.s.55.alias=
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd14
unit.0.0.port.-1.s.55.name=/s_send_fifo_data<6>
unit.0.0.port.-1.s.55.orderindex=-1
unit.0.0.port.-1.s.55.orderindex=-1
unit.0.0.port.-1.s.55.visible=0
unit.0.0.port.-1.s.55.visible=0
unit.0.0.port.-1.s.56.alias=
unit.0.0.port.-1.s.56.alias=
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd15
unit.0.0.port.-1.s.56.name=/s_send_fifo_data<7>
unit.0.0.port.-1.s.56.orderindex=-1
unit.0.0.port.-1.s.56.orderindex=-1
unit.0.0.port.-1.s.56.visible=0
unit.0.0.port.-1.s.56.visible=0
unit.0.0.port.-1.s.57.alias=
unit.0.0.port.-1.s.57.alias=
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd16
unit.0.0.port.-1.s.57.name=/s_send_fifo_data<8>
unit.0.0.port.-1.s.57.orderindex=-1
unit.0.0.port.-1.s.57.orderindex=-1
unit.0.0.port.-1.s.57.visible=0
unit.0.0.port.-1.s.57.visible=0
unit.0.0.port.-1.s.58.alias=
unit.0.0.port.-1.s.58.alias=
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd17
unit.0.0.port.-1.s.58.name=/s_send_fifo_data<9>
unit.0.0.port.-1.s.58.orderindex=-1
unit.0.0.port.-1.s.58.orderindex=-1
unit.0.0.port.-1.s.58.visible=0
unit.0.0.port.-1.s.58.visible=0
unit.0.0.port.-1.s.59.alias=
unit.0.0.port.-1.s.59.alias=
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd18
unit.0.0.port.-1.s.59.name=/s_send_fifo_data<10>
unit.0.0.port.-1.s.59.orderindex=-1
unit.0.0.port.-1.s.59.orderindex=-1
unit.0.0.port.-1.s.59.visible=0
unit.0.0.port.-1.s.59.visible=0
unit.0.0.port.-1.s.6.alias=
unit.0.0.port.-1.s.6.alias=
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.port.-1.s.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.port.-1.s.6.orderindex=-1
unit.0.0.port.-1.s.6.orderindex=-1
unit.0.0.port.-1.s.6.visible=1
unit.0.0.port.-1.s.6.visible=1
unit.0.0.port.-1.s.60.alias=
unit.0.0.port.-1.s.60.alias=
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd19
unit.0.0.port.-1.s.60.name=/s_send_fifo_data<11>
unit.0.0.port.-1.s.60.orderindex=-1
unit.0.0.port.-1.s.60.orderindex=-1
unit.0.0.port.-1.s.60.visible=0
unit.0.0.port.-1.s.60.visible=0
unit.0.0.port.-1.s.61.alias=
unit.0.0.port.-1.s.61.alias=
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd20
unit.0.0.port.-1.s.61.name=/s_send_fifo_data<12>
unit.0.0.port.-1.s.61.orderindex=-1
unit.0.0.port.-1.s.61.orderindex=-1
unit.0.0.port.-1.s.61.visible=0
unit.0.0.port.-1.s.61.visible=0
unit.0.0.port.-1.s.62.alias=
unit.0.0.port.-1.s.62.alias=
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd21
unit.0.0.port.-1.s.62.name=/s_send_fifo_data<13>
unit.0.0.port.-1.s.62.orderindex=-1
unit.0.0.port.-1.s.62.orderindex=-1
unit.0.0.port.-1.s.62.visible=0
unit.0.0.port.-1.s.62.visible=0
unit.0.0.port.-1.s.63.alias=
unit.0.0.port.-1.s.63.alias=
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd22
unit.0.0.port.-1.s.63.name=/s_send_fifo_data<14>
unit.0.0.port.-1.s.63.orderindex=-1
unit.0.0.port.-1.s.63.orderindex=-1
unit.0.0.port.-1.s.63.visible=0
unit.0.0.port.-1.s.63.visible=0
unit.0.0.port.-1.s.64.alias=
unit.0.0.port.-1.s.64.alias=
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.64.name=/s_send_have_more_data
unit.0.0.port.-1.s.64.name=/s_send_fifo_data<15>
unit.0.0.port.-1.s.64.orderindex=-1
unit.0.0.port.-1.s.64.orderindex=-1
unit.0.0.port.-1.s.64.visible=0
unit.0.0.port.-1.s.64.visible=0
unit.0.0.port.-1.s.65.alias=v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.alias=
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.name=/s_send_fifo_data<16>
unit.0.0.port.-1.s.65.orderindex=-1
unit.0.0.port.-1.s.65.orderindex=-1
unit.0.0.port.-1.s.65.visible=1
unit.0.0.port.-1.s.65.visible=0
unit.0.0.port.-1.s.66.alias=
unit.0.0.port.-1.s.66.alias=
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.66.name=/GECKO3COM_simple_1/o_receive_transfersize<31>
unit.0.0.port.-1.s.66.name=/s_send_fifo_data<17>
unit.0.0.port.-1.s.66.orderindex=-1
unit.0.0.port.-1.s.66.orderindex=-1
unit.0.0.port.-1.s.66.visible=1
unit.0.0.port.-1.s.66.visible=0
unit.0.0.port.-1.s.67.alias=
unit.0.0.port.-1.s.67.alias=
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.67.name=/GECKO3COM_simple_1/o_receive_transfersize<3>
unit.0.0.port.-1.s.67.name=/s_send_fifo_data<18>
unit.0.0.port.-1.s.67.orderindex=-1
unit.0.0.port.-1.s.67.orderindex=-1
unit.0.0.port.-1.s.67.visible=1
unit.0.0.port.-1.s.67.visible=0
unit.0.0.port.-1.s.68.alias=
unit.0.0.port.-1.s.68.alias=
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.68.name=/GECKO3COM_simple_1/o_receive_transfersize<4>
unit.0.0.port.-1.s.68.name=/s_send_fifo_data<19>
unit.0.0.port.-1.s.68.orderindex=-1
unit.0.0.port.-1.s.68.orderindex=-1
unit.0.0.port.-1.s.68.visible=1
unit.0.0.port.-1.s.68.visible=0
unit.0.0.port.-1.s.69.alias=
unit.0.0.port.-1.s.69.alias=
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.69.name=/GECKO3COM_simple_1/o_receive_transfersize<5>
unit.0.0.port.-1.s.69.name=/s_send_fifo_data<20>
unit.0.0.port.-1.s.69.orderindex=-1
unit.0.0.port.-1.s.69.orderindex=-1
unit.0.0.port.-1.s.69.visible=1
unit.0.0.port.-1.s.69.visible=0
unit.0.0.port.-1.s.7.alias=
unit.0.0.port.-1.s.7.alias=
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.port.-1.s.7.orderindex=-1
unit.0.0.port.-1.s.7.orderindex=-1
unit.0.0.port.-1.s.7.visible=1
unit.0.0.port.-1.s.7.visible=1
unit.0.0.port.-1.s.70.alias=
unit.0.0.port.-1.s.70.alias=
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.70.name=/GECKO3COM_simple_1/o_receive_transfersize<6>
unit.0.0.port.-1.s.70.name=/s_send_fifo_data<21>
unit.0.0.port.-1.s.70.orderindex=-1
unit.0.0.port.-1.s.70.orderindex=-1
unit.0.0.port.-1.s.70.visible=1
unit.0.0.port.-1.s.70.visible=0
unit.0.0.port.-1.s.71.alias=
unit.0.0.port.-1.s.71.alias=
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.71.name=/GECKO3COM_simple_1/o_receive_transfersize<7>
unit.0.0.port.-1.s.71.name=/s_send_fifo_data<22>
unit.0.0.port.-1.s.71.orderindex=-1
unit.0.0.port.-1.s.71.orderindex=-1
unit.0.0.port.-1.s.71.visible=1
unit.0.0.port.-1.s.71.visible=0
unit.0.0.port.-1.s.72.alias=
unit.0.0.port.-1.s.72.alias=
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.72.name=/GECKO3COM_simple_1/o_receive_transfersize<8>
unit.0.0.port.-1.s.72.name=/s_send_fifo_data<23>
unit.0.0.port.-1.s.72.orderindex=-1
unit.0.0.port.-1.s.72.orderindex=-1
unit.0.0.port.-1.s.72.visible=1
unit.0.0.port.-1.s.72.visible=0
unit.0.0.port.-1.s.73.alias=
unit.0.0.port.-1.s.73.alias=
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.73.name=/GECKO3COM_simple_1/o_receive_transfersize<9>
unit.0.0.port.-1.s.73.name=/s_send_have_more_data
unit.0.0.port.-1.s.73.orderindex=-1
unit.0.0.port.-1.s.73.orderindex=-1
unit.0.0.port.-1.s.73.visible=1
unit.0.0.port.-1.s.73.visible=1
unit.0.0.port.-1.s.74.alias=
unit.0.0.port.-1.s.74.alias=
unit.0.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.74.name=/GECKO3COM_simple_1/s_gpif_rx_data<0>
unit.0.0.port.-1.s.74.name=/GECKO3COM_simple_1/s_gpif_rx_data<0>
Line 869... Line 918...
unit.0.0.port.-1.s.90.name=/GECKO3COM_simple_1/o_receive_transfersize<0>
unit.0.0.port.-1.s.90.name=/GECKO3COM_simple_1/o_receive_transfersize<0>
unit.0.0.port.-1.s.90.orderindex=-1
unit.0.0.port.-1.s.90.orderindex=-1
unit.0.0.port.-1.s.90.visible=1
unit.0.0.port.-1.s.90.visible=1
unit.0.0.port.-1.s.91.alias=
unit.0.0.port.-1.s.91.alias=
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_0
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count
unit.0.0.port.-1.s.91.orderindex=-1
unit.0.0.port.-1.s.91.orderindex=-1
unit.0.0.port.-1.s.91.visible=0
unit.0.0.port.-1.s.91.visible=0
unit.0.0.port.-1.s.92.alias=
unit.0.0.port.-1.s.92.alias=
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_1
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count1
unit.0.0.port.-1.s.92.orderindex=-1
unit.0.0.port.-1.s.92.orderindex=-1
unit.0.0.port.-1.s.92.visible=0
unit.0.0.port.-1.s.92.visible=0
unit.0.0.port.-1.s.93.alias=
unit.0.0.port.-1.s.93.alias=
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_2
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count2
unit.0.0.port.-1.s.93.orderindex=-1
unit.0.0.port.-1.s.93.orderindex=-1
unit.0.0.port.-1.s.93.visible=0
unit.0.0.port.-1.s.93.visible=0
unit.0.0.port.-1.s.94.alias=
unit.0.0.port.-1.s.94.alias=
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_3
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count3
unit.0.0.port.-1.s.94.orderindex=-1
unit.0.0.port.-1.s.94.orderindex=-1
unit.0.0.port.-1.s.94.visible=0
unit.0.0.port.-1.s.94.visible=0
unit.0.0.port.-1.s.95.alias=
unit.0.0.port.-1.s.95.alias=
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_4
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count4
unit.0.0.port.-1.s.95.orderindex=-1
unit.0.0.port.-1.s.95.orderindex=-1
unit.0.0.port.-1.s.95.visible=0
unit.0.0.port.-1.s.95.visible=0
unit.0.0.port.-1.s.96.alias=
unit.0.0.port.-1.s.96.alias=
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_5
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count5
unit.0.0.port.-1.s.96.orderindex=-1
unit.0.0.port.-1.s.96.orderindex=-1
unit.0.0.port.-1.s.96.visible=0
unit.0.0.port.-1.s.96.visible=0
unit.0.0.port.-1.s.97.alias=
unit.0.0.port.-1.s.97.alias=
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_6
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count6
unit.0.0.port.-1.s.97.orderindex=-1
unit.0.0.port.-1.s.97.orderindex=-1
unit.0.0.port.-1.s.97.visible=0
unit.0.0.port.-1.s.97.visible=0
unit.0.0.port.-1.s.98.alias=
unit.0.0.port.-1.s.98.alias=
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_7
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count7
unit.0.0.port.-1.s.98.orderindex=-1
unit.0.0.port.-1.s.98.orderindex=-1
unit.0.0.port.-1.s.98.visible=0
unit.0.0.port.-1.s.98.visible=0
unit.0.0.port.-1.s.99.alias=
unit.0.0.port.-1.s.99.alias=
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_8
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count8
unit.0.0.port.-1.s.99.orderindex=-1
unit.0.0.port.-1.s.99.orderindex=-1
unit.0.0.port.-1.s.99.visible=0
unit.0.0.port.-1.s.99.visible=0
unit.0.0.port.0.b.0.alias=
unit.0.0.port.0.b.0.alias=
unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
Line 949... Line 998...
unit.0.0.port.0.s.11.name=/s_transfer_size_reg_en
unit.0.0.port.0.s.11.name=/s_transfer_size_reg_en
unit.0.0.port.0.s.11.orderindex=-1
unit.0.0.port.0.s.11.orderindex=-1
unit.0.0.port.0.s.11.visible=1
unit.0.0.port.0.s.11.visible=1
unit.0.0.port.0.s.2.alias=
unit.0.0.port.0.s.2.alias=
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_gpif_rx_empty
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.port.0.s.2.orderindex=-1
unit.0.0.port.0.s.2.orderindex=-1
unit.0.0.port.0.s.2.visible=1
unit.0.0.port.0.s.2.visible=1
unit.0.0.port.0.s.3.alias=
unit.0.0.port.0.s.3.alias=
unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.3.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
unit.0.0.port.0.s.3.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
Line 979... Line 1028...
unit.0.0.port.0.s.7.name=/s_send_fifo_full
unit.0.0.port.0.s.7.name=/s_send_fifo_full
unit.0.0.port.0.s.7.orderindex=-1
unit.0.0.port.0.s.7.orderindex=-1
unit.0.0.port.0.s.7.visible=1
unit.0.0.port.0.s.7.visible=1
unit.0.0.port.0.s.8.alias=
unit.0.0.port.0.s.8.alias=
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.8.name=/s_send_fifo_wr_en
unit.0.0.port.0.s.8.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
unit.0.0.port.0.s.8.orderindex=-1
unit.0.0.port.0.s.8.orderindex=-1
unit.0.0.port.0.s.8.visible=1
unit.0.0.port.0.s.8.visible=1
unit.0.0.port.0.s.9.alias=
unit.0.0.port.0.s.9.alias=
unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.9.name=/s_send_have_more_data
unit.0.0.port.0.s.9.name=/s_send_have_more_data
Line 996... Line 1045...
unit.0.0.triggerPosition=100
unit.0.0.triggerPosition=100
unit.0.0.triggerWindowCount=1
unit.0.0.triggerWindowCount=1
unit.0.0.triggerWindowDepth=512
unit.0.0.triggerWindowDepth=512
unit.0.0.triggerWindowTS=0
unit.0.0.triggerWindowTS=0
unit.0.0.username=MyILA0
unit.0.0.username=MyILA0
unit.0.0.waveform.count=46
unit.0.0.waveform.count=49
unit.0.0.waveform.posn.0.channel=0
unit.0.0.waveform.posn.0.channel=0
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
 
unit.0.0.waveform.posn.0.radix=1
unit.0.0.waveform.posn.0.type=signal
unit.0.0.waveform.posn.0.type=signal
unit.0.0.waveform.posn.1.channel=1
unit.0.0.waveform.posn.1.channel=1
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
unit.0.0.waveform.posn.1.type=signal
unit.0.0.waveform.posn.1.type=signal
unit.0.0.waveform.posn.10.channel=10
unit.0.0.waveform.posn.10.channel=10
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.waveform.posn.10.type=signal
unit.0.0.waveform.posn.10.type=signal
unit.0.0.waveform.posn.100.channel=145
unit.0.0.waveform.posn.100.channel=2147483646
unit.0.0.waveform.posn.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.100.name=s_receive_transfersize_en
unit.0.0.waveform.posn.100.type=signal
unit.0.0.waveform.posn.100.radix=1
unit.0.0.waveform.posn.101.channel=145
unit.0.0.waveform.posn.100.type=bus
unit.0.0.waveform.posn.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.101.channel=2147483646
unit.0.0.waveform.posn.101.type=signal
unit.0.0.waveform.posn.101.name=s_receive_transfersize_en
unit.0.0.waveform.posn.102.channel=145
unit.0.0.waveform.posn.101.radix=1
unit.0.0.waveform.posn.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.101.type=bus
unit.0.0.waveform.posn.102.type=signal
unit.0.0.waveform.posn.102.channel=2147483646
unit.0.0.waveform.posn.103.channel=145
unit.0.0.waveform.posn.102.name=s_receive_transfersize_en
unit.0.0.waveform.posn.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.102.radix=1
unit.0.0.waveform.posn.103.type=signal
unit.0.0.waveform.posn.102.type=bus
unit.0.0.waveform.posn.104.channel=145
unit.0.0.waveform.posn.103.channel=2147483646
unit.0.0.waveform.posn.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.103.name=s_receive_transfersize_en
unit.0.0.waveform.posn.104.type=signal
unit.0.0.waveform.posn.103.radix=1
unit.0.0.waveform.posn.105.channel=145
unit.0.0.waveform.posn.103.type=bus
unit.0.0.waveform.posn.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.104.channel=2147483646
unit.0.0.waveform.posn.105.type=signal
unit.0.0.waveform.posn.104.name=s_receive_transfersize_en
unit.0.0.waveform.posn.106.channel=145
unit.0.0.waveform.posn.104.radix=1
unit.0.0.waveform.posn.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.104.type=bus
unit.0.0.waveform.posn.106.type=signal
unit.0.0.waveform.posn.105.channel=2147483646
unit.0.0.waveform.posn.107.channel=145
unit.0.0.waveform.posn.105.name=s_receive_transfersize_en
unit.0.0.waveform.posn.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.105.radix=1
unit.0.0.waveform.posn.107.type=signal
unit.0.0.waveform.posn.105.type=bus
unit.0.0.waveform.posn.108.channel=145
unit.0.0.waveform.posn.106.channel=2147483646
unit.0.0.waveform.posn.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.106.name=s_receive_transfersize_en
unit.0.0.waveform.posn.108.type=signal
unit.0.0.waveform.posn.106.radix=1
unit.0.0.waveform.posn.109.channel=145
unit.0.0.waveform.posn.106.type=bus
unit.0.0.waveform.posn.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.107.channel=2147483646
unit.0.0.waveform.posn.109.type=signal
unit.0.0.waveform.posn.107.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.107.radix=1
 
unit.0.0.waveform.posn.107.type=bus
 
unit.0.0.waveform.posn.108.channel=2147483646
 
unit.0.0.waveform.posn.108.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.108.radix=1
 
unit.0.0.waveform.posn.108.type=bus
 
unit.0.0.waveform.posn.109.channel=2147483646
 
unit.0.0.waveform.posn.109.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.109.radix=1
 
unit.0.0.waveform.posn.109.type=bus
unit.0.0.waveform.posn.11.channel=11
unit.0.0.waveform.posn.11.channel=11
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.waveform.posn.11.type=signal
unit.0.0.waveform.posn.11.type=signal
unit.0.0.waveform.posn.110.channel=145
unit.0.0.waveform.posn.110.channel=2147483646
unit.0.0.waveform.posn.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.110.name=s_receive_transfersize_en
unit.0.0.waveform.posn.110.type=signal
unit.0.0.waveform.posn.110.radix=1
unit.0.0.waveform.posn.111.channel=145
unit.0.0.waveform.posn.110.type=bus
unit.0.0.waveform.posn.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.111.channel=2147483646
unit.0.0.waveform.posn.111.type=signal
unit.0.0.waveform.posn.111.name=s_receive_transfersize_en
unit.0.0.waveform.posn.112.channel=145
unit.0.0.waveform.posn.111.radix=1
unit.0.0.waveform.posn.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.111.type=bus
unit.0.0.waveform.posn.112.type=signal
unit.0.0.waveform.posn.112.channel=2147483646
unit.0.0.waveform.posn.113.channel=145
unit.0.0.waveform.posn.112.name=s_receive_transfersize_en
unit.0.0.waveform.posn.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.112.radix=1
unit.0.0.waveform.posn.113.type=signal
unit.0.0.waveform.posn.112.type=bus
unit.0.0.waveform.posn.114.channel=145
unit.0.0.waveform.posn.113.channel=2147483646
unit.0.0.waveform.posn.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.113.name=s_receive_transfersize_en
unit.0.0.waveform.posn.114.type=signal
unit.0.0.waveform.posn.113.radix=1
unit.0.0.waveform.posn.115.channel=145
unit.0.0.waveform.posn.113.type=bus
unit.0.0.waveform.posn.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.114.channel=2147483646
unit.0.0.waveform.posn.115.type=signal
unit.0.0.waveform.posn.114.name=s_receive_transfersize_en
unit.0.0.waveform.posn.116.channel=145
unit.0.0.waveform.posn.114.radix=1
unit.0.0.waveform.posn.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.114.type=bus
unit.0.0.waveform.posn.116.type=signal
unit.0.0.waveform.posn.115.channel=2147483646
unit.0.0.waveform.posn.117.channel=145
unit.0.0.waveform.posn.115.name=s_receive_transfersize_en
unit.0.0.waveform.posn.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.115.radix=1
unit.0.0.waveform.posn.117.type=signal
unit.0.0.waveform.posn.115.type=bus
unit.0.0.waveform.posn.118.channel=145
unit.0.0.waveform.posn.116.channel=2147483646
unit.0.0.waveform.posn.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.116.name=s_receive_transfersize_en
unit.0.0.waveform.posn.118.type=signal
unit.0.0.waveform.posn.116.radix=1
unit.0.0.waveform.posn.119.channel=145
unit.0.0.waveform.posn.116.type=bus
unit.0.0.waveform.posn.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.117.channel=2147483646
unit.0.0.waveform.posn.119.type=signal
unit.0.0.waveform.posn.117.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.117.radix=1
 
unit.0.0.waveform.posn.117.type=bus
 
unit.0.0.waveform.posn.118.channel=2147483646
 
unit.0.0.waveform.posn.118.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.118.radix=1
 
unit.0.0.waveform.posn.118.type=bus
 
unit.0.0.waveform.posn.119.channel=2147483646
 
unit.0.0.waveform.posn.119.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.119.radix=1
 
unit.0.0.waveform.posn.119.type=bus
unit.0.0.waveform.posn.12.channel=12
unit.0.0.waveform.posn.12.channel=12
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.waveform.posn.12.type=signal
unit.0.0.waveform.posn.12.type=signal
unit.0.0.waveform.posn.120.channel=145
unit.0.0.waveform.posn.120.channel=2147483646
unit.0.0.waveform.posn.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.120.name=s_receive_transfersize_en
unit.0.0.waveform.posn.120.type=signal
unit.0.0.waveform.posn.120.radix=1
unit.0.0.waveform.posn.121.channel=145
unit.0.0.waveform.posn.120.type=bus
unit.0.0.waveform.posn.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.121.channel=2147483646
unit.0.0.waveform.posn.121.type=signal
unit.0.0.waveform.posn.121.name=s_receive_transfersize_en
unit.0.0.waveform.posn.122.channel=145
unit.0.0.waveform.posn.121.radix=1
unit.0.0.waveform.posn.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.121.type=bus
unit.0.0.waveform.posn.122.type=signal
unit.0.0.waveform.posn.122.channel=2147483646
unit.0.0.waveform.posn.123.channel=145
unit.0.0.waveform.posn.122.name=s_receive_transfersize_en
unit.0.0.waveform.posn.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.122.radix=1
unit.0.0.waveform.posn.123.type=signal
unit.0.0.waveform.posn.122.type=bus
unit.0.0.waveform.posn.124.channel=145
unit.0.0.waveform.posn.123.channel=2147483646
unit.0.0.waveform.posn.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.123.name=s_receive_transfersize_en
unit.0.0.waveform.posn.124.type=signal
unit.0.0.waveform.posn.123.radix=1
unit.0.0.waveform.posn.125.channel=145
unit.0.0.waveform.posn.123.type=bus
unit.0.0.waveform.posn.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.124.channel=2147483646
unit.0.0.waveform.posn.125.type=signal
unit.0.0.waveform.posn.124.name=s_receive_transfersize_en
unit.0.0.waveform.posn.126.channel=145
unit.0.0.waveform.posn.124.radix=1
unit.0.0.waveform.posn.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.124.type=bus
unit.0.0.waveform.posn.126.type=signal
unit.0.0.waveform.posn.125.channel=2147483646
unit.0.0.waveform.posn.127.channel=145
unit.0.0.waveform.posn.125.name=s_receive_transfersize_en
unit.0.0.waveform.posn.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.125.radix=1
unit.0.0.waveform.posn.127.type=signal
unit.0.0.waveform.posn.125.type=bus
unit.0.0.waveform.posn.128.channel=145
unit.0.0.waveform.posn.126.channel=2147483646
unit.0.0.waveform.posn.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.126.name=s_receive_transfersize_en
unit.0.0.waveform.posn.128.type=signal
unit.0.0.waveform.posn.126.radix=1
unit.0.0.waveform.posn.129.channel=145
unit.0.0.waveform.posn.126.type=bus
unit.0.0.waveform.posn.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.127.channel=2147483646
unit.0.0.waveform.posn.129.type=signal
unit.0.0.waveform.posn.127.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.127.radix=1
 
unit.0.0.waveform.posn.127.type=bus
 
unit.0.0.waveform.posn.128.channel=2147483646
 
unit.0.0.waveform.posn.128.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.128.radix=1
 
unit.0.0.waveform.posn.128.type=bus
 
unit.0.0.waveform.posn.129.channel=2147483646
 
unit.0.0.waveform.posn.129.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.129.radix=1
 
unit.0.0.waveform.posn.129.type=bus
unit.0.0.waveform.posn.13.channel=13
unit.0.0.waveform.posn.13.channel=13
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
unit.0.0.waveform.posn.13.type=signal
unit.0.0.waveform.posn.13.type=signal
unit.0.0.waveform.posn.130.channel=145
unit.0.0.waveform.posn.130.channel=2147483646
unit.0.0.waveform.posn.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.130.name=s_receive_transfersize_en
unit.0.0.waveform.posn.130.type=signal
unit.0.0.waveform.posn.130.radix=1
unit.0.0.waveform.posn.131.channel=145
unit.0.0.waveform.posn.130.type=bus
unit.0.0.waveform.posn.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.131.channel=2147483646
unit.0.0.waveform.posn.131.type=signal
unit.0.0.waveform.posn.131.name=s_receive_transfersize_en
unit.0.0.waveform.posn.132.channel=145
unit.0.0.waveform.posn.131.radix=1
unit.0.0.waveform.posn.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.131.type=bus
unit.0.0.waveform.posn.132.type=signal
unit.0.0.waveform.posn.132.channel=2147483646
unit.0.0.waveform.posn.133.channel=145
unit.0.0.waveform.posn.132.name=s_receive_transfersize_en
unit.0.0.waveform.posn.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.132.radix=1
unit.0.0.waveform.posn.133.type=signal
unit.0.0.waveform.posn.132.type=bus
unit.0.0.waveform.posn.134.channel=145
unit.0.0.waveform.posn.133.channel=2147483646
unit.0.0.waveform.posn.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.133.name=s_receive_transfersize_en
unit.0.0.waveform.posn.134.type=signal
unit.0.0.waveform.posn.133.radix=1
unit.0.0.waveform.posn.135.channel=145
unit.0.0.waveform.posn.133.type=bus
unit.0.0.waveform.posn.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.134.channel=2147483646
unit.0.0.waveform.posn.135.type=signal
unit.0.0.waveform.posn.134.name=s_receive_transfersize_en
unit.0.0.waveform.posn.136.channel=145
unit.0.0.waveform.posn.134.radix=1
unit.0.0.waveform.posn.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.134.type=bus
unit.0.0.waveform.posn.136.type=signal
unit.0.0.waveform.posn.135.channel=2147483646
unit.0.0.waveform.posn.137.channel=145
unit.0.0.waveform.posn.135.name=s_receive_transfersize_en
unit.0.0.waveform.posn.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.135.radix=1
unit.0.0.waveform.posn.137.type=signal
unit.0.0.waveform.posn.135.type=bus
unit.0.0.waveform.posn.138.channel=145
unit.0.0.waveform.posn.136.channel=2147483646
unit.0.0.waveform.posn.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.136.name=s_receive_transfersize_en
unit.0.0.waveform.posn.138.type=signal
unit.0.0.waveform.posn.136.radix=1
unit.0.0.waveform.posn.139.channel=145
unit.0.0.waveform.posn.136.type=bus
unit.0.0.waveform.posn.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.137.channel=2147483646
unit.0.0.waveform.posn.139.type=signal
unit.0.0.waveform.posn.137.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.137.radix=1
 
unit.0.0.waveform.posn.137.type=bus
 
unit.0.0.waveform.posn.138.channel=2147483646
 
unit.0.0.waveform.posn.138.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.138.radix=1
 
unit.0.0.waveform.posn.138.type=bus
 
unit.0.0.waveform.posn.139.channel=2147483646
 
unit.0.0.waveform.posn.139.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.139.radix=1
 
unit.0.0.waveform.posn.139.type=bus
unit.0.0.waveform.posn.14.channel=14
unit.0.0.waveform.posn.14.channel=14
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.waveform.posn.14.type=signal
unit.0.0.waveform.posn.14.type=signal
unit.0.0.waveform.posn.140.channel=145
unit.0.0.waveform.posn.140.channel=2147483646
unit.0.0.waveform.posn.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.140.name=s_receive_transfersize_en
unit.0.0.waveform.posn.140.type=signal
unit.0.0.waveform.posn.140.radix=1
unit.0.0.waveform.posn.141.channel=145
unit.0.0.waveform.posn.140.type=bus
unit.0.0.waveform.posn.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.141.channel=2147483646
unit.0.0.waveform.posn.141.type=signal
unit.0.0.waveform.posn.141.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.141.radix=1
 
unit.0.0.waveform.posn.141.type=bus
unit.0.0.waveform.posn.142.channel=145
unit.0.0.waveform.posn.142.channel=145
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.142.type=signal
unit.0.0.waveform.posn.142.type=signal
unit.0.0.waveform.posn.143.channel=145
unit.0.0.waveform.posn.143.channel=145
unit.0.0.waveform.posn.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
Line 1182... Line 1274...
unit.0.0.waveform.posn.21.channel=21
unit.0.0.waveform.posn.21.channel=21
unit.0.0.waveform.posn.21.name=/GECKO3COM_simple_1/s_receive_counter_en
unit.0.0.waveform.posn.21.name=/GECKO3COM_simple_1/s_receive_counter_en
unit.0.0.waveform.posn.21.type=signal
unit.0.0.waveform.posn.21.type=signal
unit.0.0.waveform.posn.22.channel=22
unit.0.0.waveform.posn.22.channel=22
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
 
unit.0.0.waveform.posn.22.radix=1
unit.0.0.waveform.posn.22.type=signal
unit.0.0.waveform.posn.22.type=signal
unit.0.0.waveform.posn.23.channel=23
unit.0.0.waveform.posn.23.channel=23
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
 
unit.0.0.waveform.posn.23.radix=1
unit.0.0.waveform.posn.23.type=signal
unit.0.0.waveform.posn.23.type=signal
unit.0.0.waveform.posn.24.channel=24
unit.0.0.waveform.posn.24.channel=24
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
unit.0.0.waveform.posn.24.type=signal
unit.0.0.waveform.posn.24.type=signal
unit.0.0.waveform.posn.25.channel=25
unit.0.0.waveform.posn.25.channel=25
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
unit.0.0.waveform.posn.25.type=signal
unit.0.0.waveform.posn.25.type=signal
unit.0.0.waveform.posn.26.channel=65
unit.0.0.waveform.posn.26.channel=26
unit.0.0.waveform.posn.26.name=v_receive_fifo_empty_old
unit.0.0.waveform.posn.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.waveform.posn.26.type=signal
unit.0.0.waveform.posn.26.type=signal
unit.0.0.waveform.posn.27.channel=26
unit.0.0.waveform.posn.27.channel=27
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.waveform.posn.27.type=signal
unit.0.0.waveform.posn.27.type=signal
unit.0.0.waveform.posn.28.channel=27
unit.0.0.waveform.posn.28.channel=28
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.waveform.posn.28.type=signal
unit.0.0.waveform.posn.28.type=signal
unit.0.0.waveform.posn.29.channel=28
unit.0.0.waveform.posn.29.channel=31
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.waveform.posn.29.type=signal
unit.0.0.waveform.posn.29.type=signal
unit.0.0.waveform.posn.3.channel=3
unit.0.0.waveform.posn.3.channel=3
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
unit.0.0.waveform.posn.3.type=signal
unit.0.0.waveform.posn.3.type=signal
unit.0.0.waveform.posn.30.channel=31
unit.0.0.waveform.posn.30.channel=32
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.waveform.posn.30.type=signal
unit.0.0.waveform.posn.30.type=signal
unit.0.0.waveform.posn.31.channel=32
unit.0.0.waveform.posn.31.channel=33
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.waveform.posn.31.type=signal
unit.0.0.waveform.posn.31.type=signal
unit.0.0.waveform.posn.32.channel=33
unit.0.0.waveform.posn.32.channel=34
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.waveform.posn.32.type=signal
unit.0.0.waveform.posn.32.type=signal
unit.0.0.waveform.posn.33.channel=34
unit.0.0.waveform.posn.33.channel=35
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.waveform.posn.33.type=signal
unit.0.0.waveform.posn.33.type=signal
unit.0.0.waveform.posn.34.channel=35
unit.0.0.waveform.posn.34.channel=36
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.waveform.posn.34.type=signal
unit.0.0.waveform.posn.34.type=signal
unit.0.0.waveform.posn.35.channel=36
unit.0.0.waveform.posn.35.channel=37
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.waveform.posn.35.type=signal
unit.0.0.waveform.posn.35.type=signal
unit.0.0.waveform.posn.36.channel=37
unit.0.0.waveform.posn.36.channel=38
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.waveform.posn.36.type=signal
unit.0.0.waveform.posn.36.type=signal
unit.0.0.waveform.posn.37.channel=38
unit.0.0.waveform.posn.37.channel=47
unit.0.0.waveform.posn.37.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.waveform.posn.37.name=/s_send_counter_equals_transfer_size
unit.0.0.waveform.posn.37.radix=1
unit.0.0.waveform.posn.37.radix=1
unit.0.0.waveform.posn.37.type=signal
unit.0.0.waveform.posn.37.type=signal
unit.0.0.waveform.posn.38.channel=2147483646
unit.0.0.waveform.posn.38.channel=48
unit.0.0.waveform.posn.38.name=s_btag
unit.0.0.waveform.posn.38.name=/s_send_transfersize_en
unit.0.0.waveform.posn.38.radix=1
unit.0.0.waveform.posn.38.radix=1
unit.0.0.waveform.posn.38.type=bus
unit.0.0.waveform.posn.38.type=signal
unit.0.0.waveform.posn.39.channel=2147483646
unit.0.0.waveform.posn.39.channel=73
unit.0.0.waveform.posn.39.name=s_msg_id
unit.0.0.waveform.posn.39.name=/s_send_have_more_data
unit.0.0.waveform.posn.39.radix=1
unit.0.0.waveform.posn.39.radix=1
unit.0.0.waveform.posn.39.type=bus
unit.0.0.waveform.posn.39.type=signal
unit.0.0.waveform.posn.4.channel=4
unit.0.0.waveform.posn.4.channel=4
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
unit.0.0.waveform.posn.4.type=signal
unit.0.0.waveform.posn.4.type=signal
unit.0.0.waveform.posn.40.channel=2147483646
unit.0.0.waveform.posn.40.channel=2147483646
unit.0.0.waveform.posn.40.name=s_nbtag
unit.0.0.waveform.posn.40.name=/s_send_fifo_data
unit.0.0.waveform.posn.40.radix=1
unit.0.0.waveform.posn.40.radix=1
unit.0.0.waveform.posn.40.type=bus
unit.0.0.waveform.posn.40.type=bus
unit.0.0.waveform.posn.41.channel=2147483646
unit.0.0.waveform.posn.41.channel=2147483646
unit.0.0.waveform.posn.41.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.waveform.posn.41.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.waveform.posn.41.radix=1
unit.0.0.waveform.posn.41.radix=1
unit.0.0.waveform.posn.41.type=bus
unit.0.0.waveform.posn.41.type=bus
unit.0.0.waveform.posn.42.channel=2147483646
unit.0.0.waveform.posn.42.channel=2147483646
unit.0.0.waveform.posn.42.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.waveform.posn.42.name=Mcount_s_send_transfersize_coun
unit.0.0.waveform.posn.42.radix=1
unit.0.0.waveform.posn.42.radix=1
unit.0.0.waveform.posn.42.type=bus
unit.0.0.waveform.posn.42.type=bus
unit.0.0.waveform.posn.43.channel=2147483646
unit.0.0.waveform.posn.43.channel=2147483646
unit.0.0.waveform.posn.43.name=s_receive_transfersize_en
unit.0.0.waveform.posn.43.name=s_msg_id
unit.0.0.waveform.posn.43.radix=1
unit.0.0.waveform.posn.43.radix=1
unit.0.0.waveform.posn.43.type=bus
unit.0.0.waveform.posn.43.type=bus
unit.0.0.waveform.posn.44.channel=2147483646
unit.0.0.waveform.posn.44.channel=2147483646
unit.0.0.waveform.posn.44.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.waveform.posn.44.name=s_btag
unit.0.0.waveform.posn.44.radix=1
unit.0.0.waveform.posn.44.radix=1
unit.0.0.waveform.posn.44.type=bus
unit.0.0.waveform.posn.44.type=bus
unit.0.0.waveform.posn.45.channel=2147483646
unit.0.0.waveform.posn.45.channel=2147483646
unit.0.0.waveform.posn.45.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.45.name=s_nbtag
unit.0.0.waveform.posn.45.radix=1
unit.0.0.waveform.posn.45.radix=1
unit.0.0.waveform.posn.45.type=bus
unit.0.0.waveform.posn.45.type=bus
unit.0.0.waveform.posn.46.channel=2147483646
unit.0.0.waveform.posn.46.channel=2147483646
unit.0.0.waveform.posn.46.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.46.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.waveform.posn.46.radix=1
unit.0.0.waveform.posn.46.radix=1
unit.0.0.waveform.posn.46.type=bus
unit.0.0.waveform.posn.46.type=bus
unit.0.0.waveform.posn.47.channel=145
unit.0.0.waveform.posn.47.channel=2147483646
unit.0.0.waveform.posn.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.47.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.waveform.posn.47.type=signal
unit.0.0.waveform.posn.47.radix=1
unit.0.0.waveform.posn.48.channel=145
unit.0.0.waveform.posn.47.type=bus
unit.0.0.waveform.posn.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.48.channel=2147483646
unit.0.0.waveform.posn.48.type=signal
unit.0.0.waveform.posn.48.name=s_receive_transfersize_en
unit.0.0.waveform.posn.49.channel=145
unit.0.0.waveform.posn.48.radix=1
unit.0.0.waveform.posn.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.48.type=bus
unit.0.0.waveform.posn.49.type=signal
unit.0.0.waveform.posn.49.channel=2147483646
 
unit.0.0.waveform.posn.49.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.49.radix=1
 
unit.0.0.waveform.posn.49.type=bus
unit.0.0.waveform.posn.5.channel=5
unit.0.0.waveform.posn.5.channel=5
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.waveform.posn.5.type=signal
unit.0.0.waveform.posn.5.type=signal
unit.0.0.waveform.posn.50.channel=145
unit.0.0.waveform.posn.50.channel=2147483646
unit.0.0.waveform.posn.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.50.name=s_receive_transfersize_en
unit.0.0.waveform.posn.50.type=signal
unit.0.0.waveform.posn.50.radix=1
unit.0.0.waveform.posn.51.channel=145
unit.0.0.waveform.posn.50.type=bus
unit.0.0.waveform.posn.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.51.channel=2147483646
unit.0.0.waveform.posn.51.type=signal
unit.0.0.waveform.posn.51.name=s_receive_transfersize_en
unit.0.0.waveform.posn.52.channel=145
unit.0.0.waveform.posn.51.radix=1
unit.0.0.waveform.posn.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.51.type=bus
unit.0.0.waveform.posn.52.type=signal
unit.0.0.waveform.posn.52.channel=2147483646
unit.0.0.waveform.posn.53.channel=145
unit.0.0.waveform.posn.52.name=s_receive_transfersize_en
unit.0.0.waveform.posn.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.52.radix=1
unit.0.0.waveform.posn.53.type=signal
unit.0.0.waveform.posn.52.type=bus
unit.0.0.waveform.posn.54.channel=145
unit.0.0.waveform.posn.53.channel=2147483646
unit.0.0.waveform.posn.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.53.name=s_receive_transfersize_en
unit.0.0.waveform.posn.54.type=signal
unit.0.0.waveform.posn.53.radix=1
unit.0.0.waveform.posn.55.channel=145
unit.0.0.waveform.posn.53.type=bus
unit.0.0.waveform.posn.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.54.channel=2147483646
unit.0.0.waveform.posn.55.type=signal
unit.0.0.waveform.posn.54.name=s_receive_transfersize_en
unit.0.0.waveform.posn.56.channel=145
unit.0.0.waveform.posn.54.radix=1
unit.0.0.waveform.posn.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.54.type=bus
unit.0.0.waveform.posn.56.type=signal
unit.0.0.waveform.posn.55.channel=2147483646
unit.0.0.waveform.posn.57.channel=145
unit.0.0.waveform.posn.55.name=s_receive_transfersize_en
unit.0.0.waveform.posn.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.55.radix=1
unit.0.0.waveform.posn.57.type=signal
unit.0.0.waveform.posn.55.type=bus
unit.0.0.waveform.posn.58.channel=145
unit.0.0.waveform.posn.56.channel=2147483646
unit.0.0.waveform.posn.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.56.name=s_receive_transfersize_en
unit.0.0.waveform.posn.58.type=signal
unit.0.0.waveform.posn.56.radix=1
unit.0.0.waveform.posn.59.channel=145
unit.0.0.waveform.posn.56.type=bus
unit.0.0.waveform.posn.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.57.channel=2147483646
unit.0.0.waveform.posn.59.type=signal
unit.0.0.waveform.posn.57.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.57.radix=1
 
unit.0.0.waveform.posn.57.type=bus
 
unit.0.0.waveform.posn.58.channel=2147483646
 
unit.0.0.waveform.posn.58.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.58.radix=1
 
unit.0.0.waveform.posn.58.type=bus
 
unit.0.0.waveform.posn.59.channel=2147483646
 
unit.0.0.waveform.posn.59.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.59.radix=1
 
unit.0.0.waveform.posn.59.type=bus
unit.0.0.waveform.posn.6.channel=6
unit.0.0.waveform.posn.6.channel=6
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.waveform.posn.6.type=signal
unit.0.0.waveform.posn.6.type=signal
unit.0.0.waveform.posn.60.channel=145
unit.0.0.waveform.posn.60.channel=2147483646
unit.0.0.waveform.posn.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.60.name=s_receive_transfersize_en
unit.0.0.waveform.posn.60.type=signal
unit.0.0.waveform.posn.60.radix=1
unit.0.0.waveform.posn.61.channel=145
unit.0.0.waveform.posn.60.type=bus
unit.0.0.waveform.posn.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.61.channel=2147483646
unit.0.0.waveform.posn.61.type=signal
unit.0.0.waveform.posn.61.name=s_receive_transfersize_en
unit.0.0.waveform.posn.62.channel=145
unit.0.0.waveform.posn.61.radix=1
unit.0.0.waveform.posn.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.61.type=bus
unit.0.0.waveform.posn.62.type=signal
unit.0.0.waveform.posn.62.channel=2147483646
unit.0.0.waveform.posn.63.channel=145
unit.0.0.waveform.posn.62.name=s_receive_transfersize_en
unit.0.0.waveform.posn.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.62.radix=1
unit.0.0.waveform.posn.63.type=signal
unit.0.0.waveform.posn.62.type=bus
unit.0.0.waveform.posn.64.channel=145
unit.0.0.waveform.posn.63.channel=2147483646
unit.0.0.waveform.posn.64.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.63.name=s_receive_transfersize_en
unit.0.0.waveform.posn.64.type=signal
unit.0.0.waveform.posn.63.radix=1
unit.0.0.waveform.posn.65.channel=145
unit.0.0.waveform.posn.63.type=bus
unit.0.0.waveform.posn.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.64.channel=2147483646
unit.0.0.waveform.posn.65.type=signal
unit.0.0.waveform.posn.64.name=s_receive_transfersize_en
unit.0.0.waveform.posn.66.channel=145
unit.0.0.waveform.posn.64.radix=1
unit.0.0.waveform.posn.66.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.64.type=bus
unit.0.0.waveform.posn.66.type=signal
unit.0.0.waveform.posn.65.channel=2147483646
unit.0.0.waveform.posn.67.channel=145
unit.0.0.waveform.posn.65.name=s_receive_transfersize_en
unit.0.0.waveform.posn.67.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.65.radix=1
unit.0.0.waveform.posn.67.type=signal
unit.0.0.waveform.posn.65.type=bus
unit.0.0.waveform.posn.68.channel=145
unit.0.0.waveform.posn.66.channel=2147483646
unit.0.0.waveform.posn.68.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.66.name=s_receive_transfersize_en
unit.0.0.waveform.posn.68.type=signal
unit.0.0.waveform.posn.66.radix=1
unit.0.0.waveform.posn.69.channel=145
unit.0.0.waveform.posn.66.type=bus
unit.0.0.waveform.posn.69.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.67.channel=2147483646
unit.0.0.waveform.posn.69.type=signal
unit.0.0.waveform.posn.67.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.67.radix=1
 
unit.0.0.waveform.posn.67.type=bus
 
unit.0.0.waveform.posn.68.channel=2147483646
 
unit.0.0.waveform.posn.68.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.68.radix=1
 
unit.0.0.waveform.posn.68.type=bus
 
unit.0.0.waveform.posn.69.channel=2147483646
 
unit.0.0.waveform.posn.69.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.69.radix=1
 
unit.0.0.waveform.posn.69.type=bus
unit.0.0.waveform.posn.7.channel=7
unit.0.0.waveform.posn.7.channel=7
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.waveform.posn.7.type=signal
unit.0.0.waveform.posn.7.type=signal
unit.0.0.waveform.posn.70.channel=145
unit.0.0.waveform.posn.70.channel=2147483646
unit.0.0.waveform.posn.70.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.70.name=s_receive_transfersize_en
unit.0.0.waveform.posn.70.type=signal
unit.0.0.waveform.posn.70.radix=1
unit.0.0.waveform.posn.71.channel=145
unit.0.0.waveform.posn.70.type=bus
unit.0.0.waveform.posn.71.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.71.channel=2147483646
unit.0.0.waveform.posn.71.type=signal
unit.0.0.waveform.posn.71.name=s_receive_transfersize_en
unit.0.0.waveform.posn.72.channel=145
unit.0.0.waveform.posn.71.radix=1
unit.0.0.waveform.posn.72.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.71.type=bus
unit.0.0.waveform.posn.72.type=signal
unit.0.0.waveform.posn.72.channel=2147483646
unit.0.0.waveform.posn.73.channel=145
unit.0.0.waveform.posn.72.name=s_receive_transfersize_en
unit.0.0.waveform.posn.73.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.72.radix=1
unit.0.0.waveform.posn.73.type=signal
unit.0.0.waveform.posn.72.type=bus
unit.0.0.waveform.posn.74.channel=145
unit.0.0.waveform.posn.73.channel=2147483646
unit.0.0.waveform.posn.74.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.73.name=s_receive_transfersize_en
unit.0.0.waveform.posn.74.type=signal
unit.0.0.waveform.posn.73.radix=1
unit.0.0.waveform.posn.75.channel=145
unit.0.0.waveform.posn.73.type=bus
unit.0.0.waveform.posn.75.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.74.channel=2147483646
unit.0.0.waveform.posn.75.type=signal
unit.0.0.waveform.posn.74.name=s_receive_transfersize_en
unit.0.0.waveform.posn.76.channel=145
unit.0.0.waveform.posn.74.radix=1
unit.0.0.waveform.posn.76.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.74.type=bus
unit.0.0.waveform.posn.76.type=signal
unit.0.0.waveform.posn.75.channel=2147483646
unit.0.0.waveform.posn.77.channel=145
unit.0.0.waveform.posn.75.name=s_receive_transfersize_en
unit.0.0.waveform.posn.77.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.75.radix=1
unit.0.0.waveform.posn.77.type=signal
unit.0.0.waveform.posn.75.type=bus
unit.0.0.waveform.posn.78.channel=145
unit.0.0.waveform.posn.76.channel=2147483646
unit.0.0.waveform.posn.78.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.76.name=s_receive_transfersize_en
unit.0.0.waveform.posn.78.type=signal
unit.0.0.waveform.posn.76.radix=1
unit.0.0.waveform.posn.79.channel=145
unit.0.0.waveform.posn.76.type=bus
unit.0.0.waveform.posn.79.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.77.channel=2147483646
unit.0.0.waveform.posn.79.type=signal
unit.0.0.waveform.posn.77.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.77.radix=1
 
unit.0.0.waveform.posn.77.type=bus
 
unit.0.0.waveform.posn.78.channel=2147483646
 
unit.0.0.waveform.posn.78.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.78.radix=1
 
unit.0.0.waveform.posn.78.type=bus
 
unit.0.0.waveform.posn.79.channel=2147483646
 
unit.0.0.waveform.posn.79.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.79.radix=1
 
unit.0.0.waveform.posn.79.type=bus
unit.0.0.waveform.posn.8.channel=8
unit.0.0.waveform.posn.8.channel=8
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
unit.0.0.waveform.posn.8.type=signal
unit.0.0.waveform.posn.8.type=signal
unit.0.0.waveform.posn.80.channel=145
unit.0.0.waveform.posn.80.channel=2147483646
unit.0.0.waveform.posn.80.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.80.name=s_receive_transfersize_en
unit.0.0.waveform.posn.80.type=signal
unit.0.0.waveform.posn.80.radix=1
unit.0.0.waveform.posn.81.channel=145
unit.0.0.waveform.posn.80.type=bus
unit.0.0.waveform.posn.81.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.81.channel=2147483646
unit.0.0.waveform.posn.81.type=signal
unit.0.0.waveform.posn.81.name=s_receive_transfersize_en
unit.0.0.waveform.posn.82.channel=145
unit.0.0.waveform.posn.81.radix=1
unit.0.0.waveform.posn.82.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.81.type=bus
unit.0.0.waveform.posn.82.type=signal
unit.0.0.waveform.posn.82.channel=2147483646
unit.0.0.waveform.posn.83.channel=145
unit.0.0.waveform.posn.82.name=s_receive_transfersize_en
unit.0.0.waveform.posn.83.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.82.radix=1
unit.0.0.waveform.posn.83.type=signal
unit.0.0.waveform.posn.82.type=bus
unit.0.0.waveform.posn.84.channel=145
unit.0.0.waveform.posn.83.channel=2147483646
unit.0.0.waveform.posn.84.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.83.name=s_receive_transfersize_en
unit.0.0.waveform.posn.84.type=signal
unit.0.0.waveform.posn.83.radix=1
unit.0.0.waveform.posn.85.channel=145
unit.0.0.waveform.posn.83.type=bus
unit.0.0.waveform.posn.85.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.84.channel=2147483646
unit.0.0.waveform.posn.85.type=signal
unit.0.0.waveform.posn.84.name=s_receive_transfersize_en
unit.0.0.waveform.posn.86.channel=145
unit.0.0.waveform.posn.84.radix=1
unit.0.0.waveform.posn.86.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.84.type=bus
unit.0.0.waveform.posn.86.type=signal
unit.0.0.waveform.posn.85.channel=2147483646
unit.0.0.waveform.posn.87.channel=145
unit.0.0.waveform.posn.85.name=s_receive_transfersize_en
unit.0.0.waveform.posn.87.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.85.radix=1
unit.0.0.waveform.posn.87.type=signal
unit.0.0.waveform.posn.85.type=bus
unit.0.0.waveform.posn.88.channel=145
unit.0.0.waveform.posn.86.channel=2147483646
unit.0.0.waveform.posn.88.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.86.name=s_receive_transfersize_en
unit.0.0.waveform.posn.88.type=signal
unit.0.0.waveform.posn.86.radix=1
unit.0.0.waveform.posn.89.channel=145
unit.0.0.waveform.posn.86.type=bus
unit.0.0.waveform.posn.89.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.87.channel=2147483646
unit.0.0.waveform.posn.89.type=signal
unit.0.0.waveform.posn.87.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.87.radix=1
 
unit.0.0.waveform.posn.87.type=bus
 
unit.0.0.waveform.posn.88.channel=2147483646
 
unit.0.0.waveform.posn.88.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.88.radix=1
 
unit.0.0.waveform.posn.88.type=bus
 
unit.0.0.waveform.posn.89.channel=2147483646
 
unit.0.0.waveform.posn.89.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.89.radix=1
 
unit.0.0.waveform.posn.89.type=bus
unit.0.0.waveform.posn.9.channel=9
unit.0.0.waveform.posn.9.channel=9
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
unit.0.0.waveform.posn.9.type=signal
unit.0.0.waveform.posn.9.type=signal
unit.0.0.waveform.posn.90.channel=145
unit.0.0.waveform.posn.90.channel=2147483646
unit.0.0.waveform.posn.90.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.90.name=s_receive_transfersize_en
unit.0.0.waveform.posn.90.type=signal
unit.0.0.waveform.posn.90.radix=1
unit.0.0.waveform.posn.91.channel=145
unit.0.0.waveform.posn.90.type=bus
unit.0.0.waveform.posn.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.91.channel=2147483646
unit.0.0.waveform.posn.91.type=signal
unit.0.0.waveform.posn.91.name=s_receive_transfersize_en
unit.0.0.waveform.posn.92.channel=145
unit.0.0.waveform.posn.91.radix=1
unit.0.0.waveform.posn.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.91.type=bus
unit.0.0.waveform.posn.92.type=signal
unit.0.0.waveform.posn.92.channel=2147483646
unit.0.0.waveform.posn.93.channel=145
unit.0.0.waveform.posn.92.name=s_receive_transfersize_en
unit.0.0.waveform.posn.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.92.radix=1
unit.0.0.waveform.posn.93.type=signal
unit.0.0.waveform.posn.92.type=bus
unit.0.0.waveform.posn.94.channel=145
unit.0.0.waveform.posn.93.channel=2147483646
unit.0.0.waveform.posn.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.93.name=s_receive_transfersize_en
unit.0.0.waveform.posn.94.type=signal
unit.0.0.waveform.posn.93.radix=1
unit.0.0.waveform.posn.95.channel=145
unit.0.0.waveform.posn.93.type=bus
unit.0.0.waveform.posn.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.94.channel=2147483646
unit.0.0.waveform.posn.95.type=signal
unit.0.0.waveform.posn.94.name=s_receive_transfersize_en
unit.0.0.waveform.posn.96.channel=145
unit.0.0.waveform.posn.94.radix=1
unit.0.0.waveform.posn.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.94.type=bus
unit.0.0.waveform.posn.96.type=signal
unit.0.0.waveform.posn.95.channel=2147483646
unit.0.0.waveform.posn.97.channel=145
unit.0.0.waveform.posn.95.name=s_receive_transfersize_en
unit.0.0.waveform.posn.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.95.radix=1
unit.0.0.waveform.posn.97.type=signal
unit.0.0.waveform.posn.95.type=bus
unit.0.0.waveform.posn.98.channel=145
unit.0.0.waveform.posn.96.channel=2147483646
unit.0.0.waveform.posn.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.96.name=s_receive_transfersize_en
unit.0.0.waveform.posn.98.type=signal
unit.0.0.waveform.posn.96.radix=1
unit.0.0.waveform.posn.99.channel=145
unit.0.0.waveform.posn.96.type=bus
unit.0.0.waveform.posn.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.97.channel=2147483646
unit.0.0.waveform.posn.99.type=signal
unit.0.0.waveform.posn.97.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.97.radix=1
 
unit.0.0.waveform.posn.97.type=bus
 
unit.0.0.waveform.posn.98.channel=2147483646
 
unit.0.0.waveform.posn.98.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.98.radix=1
 
unit.0.0.waveform.posn.98.type=bus
 
unit.0.0.waveform.posn.99.channel=2147483646
 
unit.0.0.waveform.posn.99.name=s_receive_transfersize_en
 
unit.0.0.waveform.posn.99.radix=1
 
unit.0.0.waveform.posn.99.type=bus

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.