OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.vhd] - Diff between revs 28 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 28 Rev 29
Line 80... Line 80...
  -- lenght of the message stored in the response message rom:
  -- lenght of the message stored in the response message rom:
  signal c_transfer_size_rom : std_logic_vector(31 downto 0) := x"0000000E";
  signal c_transfer_size_rom : std_logic_vector(31 downto 0) := x"0000000E";
 
 
  -- we will transmitt 1 MiB data when the pseude random number generator
  -- we will transmitt 1 MiB data when the pseude random number generator
  -- is used:
  -- is used:
  --signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
  signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
  signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00000001";
  --signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00000001";
 
 
 
 
  ----------------------------------------------------------------------------- 
  ----------------------------------------------------------------------------- 
  --     COMPONENTS  
  --     COMPONENTS  
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.