OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [USB_TMC_cmp.vhd] - Diff between revs 14 and 18

Show entire file | Details | Blame | View Log

Rev 14 Rev 18
Line 28... Line 28...
use UNISIM.VComponents.all;
use UNISIM.VComponents.all;
 
 
library XilinxCoreLib;
library XilinxCoreLib;
 
 
library work;
library work;
use work.USB_TMC_IP_Defs.all;
use work.GECKO3COM_defines.all;
 
 
 
 
package USB_TMC_cmp is
package USB_TMC_cmp is
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.