OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [fifo_send.vhd] - Diff between revs 22 and 24

Show entire file | Details | Blame | View Log

Rev 22 Rev 24
Line 48... Line 48...
library work;
library work;
use work.GECKO3COM_defines.all;
use work.GECKO3COM_defines.all;
 
 
entity send_fifo is
entity send_fifo is
  generic (
  generic (
    BUSWIDTH : integer := 16);          -- vector size of the FIFO databusses
    BUSWIDTH : integer := 32);          -- vector size of the FIFO databusses
  port (
  port (
    i_din    : in  std_logic_vector(BUSWIDTH-1 downto 0);
    i_din    : in  std_logic_vector(BUSWIDTH-1 downto 0);
    i_clk    : in  std_logic;
    i_clk    : in  std_logic;
    i_rd_en  : in  std_logic;
    i_rd_en  : in  std_logic;
    i_rst    : in  std_logic;
    i_rst    : in  std_logic;
Line 68... Line 68...
  -- COMPONENTS
  -- COMPONENTS
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
  component coregenerator_fifo_send
  component coregenerator_fifo_send
    port (
    port (
      din    : in  std_logic_vector(BUSWIDTH-1 downto 0);
      din    : in  std_logic_vector(31 downto 0);
      rd_clk : in  std_logic;
      rd_clk : in  std_logic;
      rd_en  : in  std_logic;
      rd_en  : in  std_logic;
      rst    : in  std_logic;
      rst    : in  std_logic;
      wr_clk : in  std_logic;
      wr_clk : in  std_logic;
      wr_en  : in  std_logic;
      wr_en  : in  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.