OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [sim_win.do] - Diff between revs 59 and 62

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 59 Rev 62
Line 33... Line 33...
# step 1: generate dpiheader.h
# step 1: generate dpiheader.h
vlog -work work -sv -dpiheader dpiheader.h ptp_drv_bfm/ptp_drv_bfm.v
vlog -work work -sv -dpiheader dpiheader.h ptp_drv_bfm/ptp_drv_bfm.v
# step 2: generate ptp_drv_bfm.obj
# step 2: generate ptp_drv_bfm.obj
vsim -dpiexportobj ptp_drv_bfm_sv ptp_drv_bfm_sv
vsim -dpiexportobj ptp_drv_bfm_sv ptp_drv_bfm_sv
# step 3: generate ptp_drv_bfm_c.obj
# step 3: generate ptp_drv_bfm_c.obj
gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
exec gcc -c -I $::env(MODEL_TECH)/../include ptp_drv_bfm/ptp_drv_bfm.c
# step 4: generate ptp_drv_bfm_c.dll
# step 4: generate ptp_drv_bfm_c.dll
gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \
exec gcc -shared -Bsymbolic -o ptp_drv_bfm_c.dll ptp_drv_bfm.o \
    ptp_drv_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
    ptp_drv_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
 
 
vsim -novopt \
vsim -novopt \
     -L altera \
     -L altera \
     -sv_lib ptp_drv_bfm_c \
     -sv_lib ptp_drv_bfm_c \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.