OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Diff between revs 15 and 68

Show entire file | Details | Blame | View Log

Rev 15 Rev 68
Line 5... Line 5...
vlib work
vlib work
vdel -lib work -all
vdel -lib work -all
 
 
vlib altera
vlib altera
vlog -work altera altera_mf.v
vlog -work altera altera_mf.v
 
vlog -work altera ../../par/altera/ip/dcfifo_128b_16.v
 
 
vlib work
vlib work
vlog -work work ../../rtl/tsu/tsu.v
vlog -work work ../../rtl/tsu/tsu.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_queue.v
vlog -work work ../../rtl/tsu/ptp_queue.v +initreg+0 +incdir+../../par/altera/ip
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work tsu_queue_tb.v
vlog -work work tsu_queue_tb.v
vsim -novopt -L altera work.tsu_queue_tb
vsim -novopt -L altera work.tsu_queue_tb
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.