OpenCores
URL https://opencores.org/ocsvn/heap_sorter/heap_sorter/trunk

Subversion Repositories heap_sorter

[/] [heap_sorter/] [trunk/] [simplified_version/] [src/] [sorter_pkg.vhd] - Diff between revs 5 and 7

Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 4... Line 4...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File       : sorter_pkg.vhd
-- File       : sorter_pkg.vhd
-- Author     : Wojciech M. Zabolotny <wzab@ise.pw.edu.pl>
-- Author     : Wojciech M. Zabolotny <wzab@ise.pw.edu.pl>
-- Company    : 
-- Company    : 
-- Created    : 2010-05-14
-- Created    : 2010-05-14
-- Last update: 2011-07-11
-- Last update: 2018-03-21
-- Platform   : 
-- Platform   : 
-- Standard   : VHDL'93
-- Standard   : VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description: 
-- Description: 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 42... Line 42...
  subtype T_PAYLOAD is std_logic_vector(DATA_REC_PAYLOAD_WIDTH - 1 downto 0);
  subtype T_PAYLOAD is std_logic_vector(DATA_REC_PAYLOAD_WIDTH - 1 downto 0);
 
 
  --alias T_SORT_KEY is unsigned (12 downto 0);
  --alias T_SORT_KEY is unsigned (12 downto 0);
  type T_DATA_REC is record
  type T_DATA_REC is record
    d_key     : T_SORT_KEY;
    d_key     : T_SORT_KEY;
    init      : std_logic;
    invalid     : std_logic;
    valid     : std_logic;
 
    d_payload : T_PAYLOAD;
    d_payload : T_PAYLOAD;
  end record;
  end record;
 
 
  -- Special constant used to initially fill the sorter
  -- Special constant used to initially fill the sorter
  -- Must be sorted so, that is smaller, than any other data
  -- Must be sorted so, that is smaller, than any other data
  constant DATA_REC_INIT_DATA : T_DATA_REC := (
  constant DATA_REC_INIT_DATA : T_DATA_REC := (
    d_key     => to_unsigned(0, DATA_REC_SORT_KEY_WIDTH),
    d_key     => to_unsigned(0, DATA_REC_SORT_KEY_WIDTH),
    init      => '1',
    invalid     => '1',
    valid     => '0',
 
    d_payload => (others => '0')
    d_payload => (others => '0')
    );
    );
 
 
  -- Special constant used to ``flush'' the sorter at the end
  -- Special constant used to ``flush'' the sorter at the end
  constant DATA_REC_END_DATA : T_DATA_REC := (
  constant DATA_REC_END_DATA : T_DATA_REC := (
    d_key     => to_unsigned(0, DATA_REC_SORT_KEY_WIDTH),
    d_key     => to_unsigned(0, DATA_REC_SORT_KEY_WIDTH),
    init      => '1',
    invalid     => '1',
    valid     => '1',
 
    d_payload => (others => '0')
    d_payload => (others => '0')
    );
    );
 
 
 
 
  function sort_cmp_lt (
  function sort_cmp_lt (
Line 97... Line 94...
    variable j      : integer := 0;
    variable j      : integer := 0;
  begin  -- stlv2drec
  begin  -- stlv2drec
    j                := 0;
    j                := 0;
    result.d_key     := unsigned(dstlv(j-1+DATA_REC_SORT_KEY_WIDTH downto j));
    result.d_key     := unsigned(dstlv(j-1+DATA_REC_SORT_KEY_WIDTH downto j));
    j                := j+DATA_REC_SORT_KEY_WIDTH;
    j                := j+DATA_REC_SORT_KEY_WIDTH;
    result.valid     := dstlv(j);
    result.invalid     := dstlv(j);
    j                := j+1;
 
    result.init      := dstlv(j);
 
    j                := j+1;
    j                := j+1;
    result.d_payload := dstlv(j-1+DATA_REC_PAYLOAD_WIDTH downto j);
    result.d_payload := dstlv(j-1+DATA_REC_PAYLOAD_WIDTH downto j);
    j                := j+DATA_REC_PAYLOAD_WIDTH;
    j                := j+DATA_REC_PAYLOAD_WIDTH;
    return result;
    return result;
  end stlv2tdrec;
  end stlv2tdrec;
Line 115... Line 110...
    variable j      : integer := 0;
    variable j      : integer := 0;
  begin  -- tdrec2stlv
  begin  -- tdrec2stlv
    j                                            := 0;
    j                                            := 0;
    result(j-1+DATA_REC_SORT_KEY_WIDTH downto j) := std_logic_vector(drec.d_key);
    result(j-1+DATA_REC_SORT_KEY_WIDTH downto j) := std_logic_vector(drec.d_key);
    j                                            := j+DATA_REC_SORT_KEY_WIDTH;
    j                                            := j+DATA_REC_SORT_KEY_WIDTH;
    result(j)                                    := drec.valid;
    result(j)                                    := drec.invalid;
    j                                            := j+1;
 
    result(j)                                    := drec.init;
 
    j                                            := j+1;
    j                                            := j+1;
    result(j-1+DATA_REC_PAYLOAD_WIDTH downto j)  := std_logic_vector(drec.d_payload);
    result(j-1+DATA_REC_PAYLOAD_WIDTH downto j)  := std_logic_vector(drec.d_payload);
    j                                            := j+DATA_REC_PAYLOAD_WIDTH;
    j                                            := j+DATA_REC_PAYLOAD_WIDTH;
    return result;
    return result;
  end tdrec2stlv;
  end tdrec2stlv;
Line 132... Line 125...
  function sort_cmp_lt (
  function sort_cmp_lt (
    constant v1 : T_DATA_REC;
    constant v1 : T_DATA_REC;
    constant v2 : T_DATA_REC)
    constant v2 : T_DATA_REC)
    return boolean is
    return boolean is
    variable rline : line;
    variable rline : line;
    variable dcomp  : unsigned(DATA_REC_SORT_KEY_WIDTH-1 downto 0) := (others => '0');
    variable dcomp, key1, key2  : unsigned(DATA_REC_SORT_KEY_WIDTH downto 0) := (others => '0');
  begin  -- sort_cmp_lt
  begin  -- sort_cmp_lt
    -- Check the special cases
 
    if (v1.init = '1') and (v2.init = '0') then
 
      -- v1 is the special record, v2 is the standard one
 
      if v1.valid = '0' then
 
        -- initialization record - ``smaller'' than all standard records
 
        return true;
 
      else
 
        -- end record - ``bigger'' than all standard records
 
        return false;
 
      end if;
 
    elsif (v1.init = '0') and (v2.init = '1') then
 
      -- v2 is the special record, v1 is the standard one      
 
      if (v2.valid = '0') then
 
        -- v2 is the initialization record - it is ``smaller'' than standard record v1
 
        return false;
 
      else
 
        -- v2 is the end record - it is ``bigger'' than standard record v1
 
        return true;
 
      end if;
 
    elsif (v1.init = '1') and (v2.init = '1') then
 
      -- both v1 and v2 are special records
 
      if (v1.valid = '0') and (v2.valid = '1') then
 
        -- v1 - initial record, v2 - end record
 
        return true;
 
      else
 
        -- v1 is end record, so it is ``bigger'' or ``equal'' to other records
 
        return false;
 
      end if;
 
    elsif (v1.init = '0') and (v2.init = '0') then
 
      -- We compare standard words
      -- We compare standard words
      -- We must consider the fact, that in longer sequences of data records
      -- We must consider the fact, that in longer sequences of data records
      -- the sort keys may wrap around
      -- the sort keys may wrap around
      -- therefore we perform subtraction modulo
      -- therefore we perform subtraction modulo
      -- 2**DATA_REC_SORT_KEY_WIDTH and check the MSB
      -- 2**DATA_REC_SORT_KEY_WIDTH and check the MSB
      dcomp := v1.d_key-v2.d_key;
      key1 := v1.d_key & v1.invalid;
      if dcomp(DATA_REC_SORT_KEY_WIDTH-1) = '1' then
      key2 := v2.d_key & v2.invalid;
 
      dcomp := key1-key2;
 
      if dcomp(DATA_REC_SORT_KEY_WIDTH) = '1' then
      --if signed(v1.d_key - v2.d_key)<0 then -- old implementation
      --if signed(v1.d_key - v2.d_key)<0 then -- old implementation
        return true;
        return true;
      elsif v2.d_key = v1.d_key then
 
        if v2.valid = '1' then
 
          return true;
 
        else
 
          -- Empty data records should wait
 
          return false;
 
        end if;
 
      else
 
        return false;
 
      end if;
 
    else
    else
      assert false report "Wrong records in sort_cmp_lt" severity error;
 
      return false;
      return false;
    end if;
    end if;
    return false;                       -- should never happen
    return false;                       -- should never happen
  end sort_cmp_lt;
  end sort_cmp_lt;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.