OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-riscv/] [core_rv32i/] [datapath.vhd] - Diff between revs 13 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 17
Line 34... Line 34...
        signal funct3: std_logic_vector(2 downto 0);
        signal funct3: std_logic_vector(2 downto 0);
        signal read_reg1, read_reg2, write_reg, rs1, rs2, rd: std_logic_vector(4 downto 0);
        signal read_reg1, read_reg2, write_reg, rs1, rs2, rd: std_logic_vector(4 downto 0);
        signal write_data, read_data1, read_data2: std_logic_vector(31 downto 0);
        signal write_data, read_data1, read_data2: std_logic_vector(31 downto 0);
        signal imm_i, imm_s, imm_sb, imm_uj, branch_src1, branch_src2: std_logic_vector(31 downto 0);
        signal imm_i, imm_s, imm_sb, imm_uj, branch_src1, branch_src2: std_logic_vector(31 downto 0);
        signal imm_u: std_logic_vector(31 downto 12);
        signal imm_u: std_logic_vector(31 downto 12);
        signal wreg, zero, less_than, branch_taken, branch_taken_dly, jump_taken, jump_taken_dly, stall_reg: std_logic;
        signal wreg, zero, less_than, branch_taken, jump_taken, stall_reg: std_logic;
        signal irq_ack_s, irq_ack_s_dly, bds: std_logic;
        signal irq_ack_s, irq_ack_s_dly, bds: std_logic;
 
 
-- control signals
-- control signals
        signal reg_write_ctl, alu_src1_ctl, sig_read_ctl, reg_to_mem, mem_to_reg, except: std_logic;
        signal reg_write_ctl, alu_src1_ctl, sig_read_ctl, reg_to_mem, mem_to_reg, except: std_logic;
        signal jump_ctl, mem_write_ctl, mem_read_ctl: std_logic_vector(1 downto 0);
        signal jump_ctl, mem_write_ctl, mem_read_ctl: std_logic_vector(1 downto 0);
Line 71... Line 71...
                                if busy = '0' then
                                if busy = '0' then
                                        pc <= pc_next;
                                        pc <= pc_next;
                                        pc_last <= pc;
                                        pc_last <= pc;
                                        pc_last2 <= pc_last;
                                        pc_last2 <= pc_last;
                                else
                                else
                                        if (reg_to_mem_r = '1' or mem_to_reg_r = '1' or except = '1') and branch_taken_dly = '0' and jump_taken_dly = '0' then
                                        if (reg_to_mem_r = '1' or mem_to_reg_r = '1' or except = '1') and bds = '0' then
                                                pc <= pc_last;
                                                pc <= pc_last;
                                        end if;
                                        end if;
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
Line 99... Line 99...
        process(clock, reset, irq, irq_ack_s, mem_to_reg_r, busy, stall)
        process(clock, reset, irq, irq_ack_s, mem_to_reg_r, busy, stall)
        begin
        begin
                if reset = '1' then
                if reset = '1' then
                        irq_ack_s_dly <= '0';
                        irq_ack_s_dly <= '0';
                        bds <= '0';
                        bds <= '0';
                        branch_taken_dly <= '0';
 
                        jump_taken_dly <= '0';
 
                        mem_to_reg_r_dly <= '0';
                        mem_to_reg_r_dly <= '0';
                        stall_reg <= '0';
                        stall_reg <= '0';
                elsif clock'event and clock = '1' then
                elsif clock'event and clock = '1' then
                        stall_reg <= stall;
                        stall_reg <= stall;
                        if stall = '0' then
                        if stall = '0' then
Line 114... Line 112...
                                        if branch_taken = '1' or jump_taken = '1' then
                                        if branch_taken = '1' or jump_taken = '1' then
                                                bds <= '1';
                                                bds <= '1';
                                        else
                                        else
                                                bds <= '0';
                                                bds <= '0';
                                        end if;
                                        end if;
                                        branch_taken_dly <= branch_taken or except;
 
                                        jump_taken_dly <= jump_taken;
 
                                end if;
                                end if;
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 
Line 207... Line 203...
                                        sig_read_ctl_r <= '0';
                                        sig_read_ctl_r <= '0';
                                        reg_to_mem_r <= '0';
                                        reg_to_mem_r <= '0';
                                        mem_to_reg_r <= '0';
                                        mem_to_reg_r <= '0';
                                else
                                else
                                        if busy = '0' then
                                        if busy = '0' then
                                                if (reg_to_mem_r = '1' or mem_to_reg_r = '1' or except = '1' or branch_taken = '1' or jump_taken = '1' or branch_taken_dly = '1' or jump_taken_dly = '1') then
                                                if (reg_to_mem_r = '1' or mem_to_reg_r = '1' or except = '1' or branch_taken = '1' or jump_taken = '1' or bds = '1') then
                                                        rd_r <= (others => '0');
                                                        rd_r <= (others => '0');
                                                        rs1_r <= (others => '0');
                                                        rs1_r <= (others => '0');
                                                        rs2_r <= (others => '0');
                                                        rs2_r <= (others => '0');
                                                        imm_i_r <= (others => '0');
                                                        imm_i_r <= (others => '0');
                                                        imm_s_r <= (others => '0');
                                                        imm_s_r <= (others => '0');

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.