OpenCores
URL https://opencores.org/ocsvn/i650/i650/trunk

Subversion Repositories i650

[/] [i650/] [trunk/] [rtl/] [accumulator.v] - Diff between revs 14 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 15
Line 30... Line 30...
`include "defines.v"
`include "defines.v"
 
 
module accumulator (
module accumulator (
   input rst,
   input rst,
   input ap, bp, dp,
   input ap, bp, dp,
   input dx, d0, d1, d2, d3, d4, d5, d6, d7, d8, d9, d10,
   input d1, d2, d10,
   input dxu, d0u, d1l,
   input dxu, d0u,
   input wu, wl,
   input wu, wl,
   input [0:6] adder_out,
   input [0:6] adder_out,
   input acc_regen_gate, right_shift_gate, acc_ri_gate,
   input acc_regen_gate, right_shift_gate, acc_ri_gate,
         zero_shift_count, man_acc_reset, reset_op_latch,
         zero_shift_count, man_acc_reset, reset_op_latch,
   input [0:3] early_idx, ontime_idx,
   input [0:3] early_idx, ontime_idx,
Line 53... Line 53...
   //-----------------------------------------------------------------------------
   //-----------------------------------------------------------------------------
   // A -- Read into early_out from RAM
   // A -- Read into early_out from RAM
   //      Read into ontime_out
   //      Read into ontime_out
   //-----------------------------------------------------------------------------
   //-----------------------------------------------------------------------------
   wire acc_reset =  reset_op_latch | man_acc_reset
   wire acc_reset =  reset_op_latch | man_acc_reset
                   | (zero_shift_count & wl & (d1l | d2));
                   | (zero_shift_count & wl & (d1 | d2));
   always @(posedge ap) begin
   always @(posedge ap) begin
      if (rst) begin
      if (rst) begin
         early_out  <= `biq_blank;
         early_out  <= `biq_blank;
         ontime_out <= `biq_blank;
         ontime_out <= `biq_blank;
      end else begin
      end else begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.