OpenCores
URL https://opencores.org/ocsvn/iicmb/iicmb/trunk

Subversion Repositories iicmb

[/] [iicmb/] [trunk/] [src_tb/] [iicmb_m_sq_arb_tb.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 120... Line 120...
 
 
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  component i2c_slave_model is
  component i2c_slave_model is
    generic
    generic
    (
    (
      i2c_adr : std_logic_vector(6 downto 0)
      I2C_ADR : integer
    );
    );
    port
    port
    (
    (
      scl     : inout std_logic;
      scl     : inout std_logic;
      sda     : inout std_logic
      sda     : inout std_logic
    );
    );
  end component i2c_slave_model;
  end component i2c_slave_model;
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
 
 
 
  constant c_slave_addr : std_logic_vector(6 downto 0) := "0100001";
 
  constant c_i2c_adr    : integer                      := to_integer(unsigned(c_slave_addr));
 
 
  signal   clk         : std_logic := '0';
  signal   clk         : std_logic := '0';
  signal   s_rst       : std_logic := '1';
  signal   s_rst       : std_logic := '1';
 
 
  signal   cs_start_0  : std_logic := '0';
  signal   cs_start_0  : std_logic := '0';
  signal   cs_busy_0   : std_logic;
  signal   cs_busy_0   : std_logic;
Line 200... Line 203...
      g_f_scl_0   => c_f_scl_0,
      g_f_scl_0   => c_f_scl_0,
      g_cmd       =>
      g_cmd       =>
        (
        (
          scmd_wait(1),                             -- Wait for 1 ms
          scmd_wait(1),                             -- Wait for 1 ms
          scmd_set_bus(0),                          -- Select bus #0
          scmd_set_bus(0),                          -- Select bus #0
          scmd_write_byte("0100001", x"03", x"4A"), -- Write byte
          scmd_write_byte(c_slave_addr, x"03", x"4A"), -- Write byte
          scmd_write_byte("0100001", x"05", x"27")  -- Write byte
          scmd_write_byte(c_slave_addr, x"05", x"27")  -- Write byte
        )
        )
    )
    )
    port map
    port map
    (
    (
      clk         => clk,
      clk         => clk,
Line 229... Line 232...
      g_f_scl_0   => c_f_scl_1,
      g_f_scl_0   => c_f_scl_1,
      g_cmd       =>
      g_cmd       =>
        (
        (
          scmd_wait(1),                             -- Wait for 1 ms
          scmd_wait(1),                             -- Wait for 1 ms
          scmd_set_bus(0),                          -- Select bus #0
          scmd_set_bus(0),                          -- Select bus #0
          scmd_write_byte("0100001", x"03", x"4A"), -- Write byte
          scmd_write_byte(c_slave_addr, x"03", x"4A"), -- Write byte
          scmd_write_byte("0100001", x"05", x"67")  -- Write byte
          scmd_write_byte(c_slave_addr, x"05", x"67")  -- Write byte
        )
        )
    )
    )
    port map
    port map
    (
    (
      clk         => clk,
      clk         => clk,
Line 292... Line 295...
 
 
  ----------------------------------------------------------------------------
  ----------------------------------------------------------------------------
  i2c_slave_model_inst0 : i2c_slave_model
  i2c_slave_model_inst0 : i2c_slave_model
    generic map
    generic map
    (
    (
      i2c_adr => "0100001"
      I2C_ADR => c_i2c_adr
    )
    )
    port map
    port map
    (
    (
      scl     => scl(0),
      scl     => scl(0),
      sda     => sda(0)
      sda     => sda(0)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.