OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_cyclone10_lp/] [index_table.vhd] - Diff between revs 4 and 7

Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 1... Line 1...
 
-- IOTA Pearl Diver VHDL Port
 
--
 
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com,
 
-- http://microengineer.eu
 
-- discord: pmaxuw#8292
 
--
 
-- Permission is hereby granted, free of charge, to any person obtaining
 
-- a copy of this software and associated documentation files (the
 
-- "Software"), to deal in the Software without restriction, including
 
-- without limitation the rights to use, copy, modify, merge, publish,
 
-- distribute, sublicense, and/or sell copies of the Software, and to
 
-- permit persons to whom the Software is furnished to do so, subject to
 
-- the following conditions:
 
-- 
 
-- The above copyright notice and this permission notice shall be
 
-- included in all copies or substantial portions of the Software.
 
-- 
 
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
 
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
 
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
 
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE
 
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION
 
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION
 
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR
 
 
library ieee;
library ieee;
 
 
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.