OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [sources/] [Iso7816_3_Master.v] - Diff between revs 7 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 10
Line 1... Line 1...
`timescale 1ns / 1ps
`timescale 1ns / 1ps
`default_nettype none
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Author: Sebastien Riou
// Engineer: 
// Creation date: 17:16:40 01/09/2011 
// 
// 
// Create Date:    17:16:40 01/09/2011 
// Last change date:    $LastChangedDate: 2011-01-29 11:41:01 +0100 (Sat, 29 Jan 2011) $
// Design Name: 
// Last changed by:     $LastChangedBy: acapola $
// Module Name:    Iso7816_3_Master 
// Last revision:               $LastChangedRevision: 10 $
// Project Name: 
// Head URL:                    $HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/sources/Iso7816_3_Master.v $                               
// Target Devices: 
 
// Tool versions: 
 
// Description: 
 
//
 
// Dependencies: 
 
//
 
// Revision: 
 
// Revision 0.01 - File Created
 
// Additional Comments: 
 
//
//
//////////////////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////////////////
module Iso7816_3_Master(
module Iso7816_3_Master(
    input wire nReset,
    input wire nReset,
    input wire clk,
    input wire clk,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.